搜索资源列表

  1. buzz

    0下载:
  2. 一个用vhdl语言编成的可以让蜂鸣器发声的的程序。-with a monument of the VHDL language allows the buzzer of the procedure.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:120812
    • 提供者:马永涛
  1. hundundeshengyin

    0下载:
  2. 混沌的声音,通过电脑蜂鸣器发声,在压缩文件里面有具体的说明,直接点击EXE文件即可,代码已经被加密了。-chaotic voices, computer buzzer and the compressed files with a specific note, direct EXE file when you click on that code has been supplemented.
  3. 所属分类:加密解密

    • 发布日期:2008-10-13
    • 文件大小:26431
    • 提供者:fzy
  1. buzzer8

    0下载:
  2. ATmega8515 avr控制有源蜂鸣器发声的程序,自己试试看哦!适合初学者。-ATmega8515 avr buzzer active control procedures to try it for yourself! For beginners.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:6343
    • 提供者:胡晶
  1. 显示数字、按键发声试验程序

    0下载:
  2. 一个比较简单的试验程序,通过该程序可以学习数码管的字符显示、动态刷新、蜂鸣器发声、中断方式按键的处理。-a relatively simple test procedure, the procedure can learn from the digital control of the characters, dynamic updating, the buzzer and interrupt handling keys.
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:4949
    • 提供者:邓昕岳
  1. 显示数字、按键发声试验程序

    0下载:
  2. 一个比较简单的试验程序,通过该程序可以学习数码管的字符显示、动态刷新、蜂鸣器发声、中断方式按键的处理。-a relatively simple test procedure, the procedure can learn from the digital control of the characters, dynamic updating, the buzzer and interrupt handling keys.
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:5120
    • 提供者:邓昕岳
  1. buzz

    0下载:
  2. 一个用vhdl语言编成的可以让蜂鸣器发声的的程序。-with a monument of the VHDL language allows the buzzer of the procedure.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:120832
    • 提供者:马永涛
  1. hundundeshengyin

    0下载:
  2. 混沌的声音,通过电脑蜂鸣器发声,在压缩文件里面有具体的说明,直接点击EXE文件即可,代码已经被加密了。-chaotic voices, computer buzzer and the compressed files with a specific note, direct EXE file when you click on that code has been supplemented.
  3. 所属分类:加密解密

    • 发布日期:2024-06-17
    • 文件大小:26624
    • 提供者:fzy
  1. buzzer8

    0下载:
  2. ATmega8515 avr控制有源蜂鸣器发声的程序,自己试试看哦!适合初学者。-ATmega8515 avr buzzer active control procedures to try it for yourself! For beginners.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-17
    • 文件大小:6144
    • 提供者:胡晶
  1. music

    0下载:
  2. 先按TAB键 然后分别按WERTYUI、SDFGHJK、ZXCVBNM分别为高、中、低音,利用的PC机蜂鸣器发声。-And then press the TAB key, respectively, according to WERTYUI, SDFGHJK, ZXCVBNM were high, middle and bass, using the PC machine audible buzzer.
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:luoliang
  1. song

    0下载:
  2. 通过驱动实验板上的蜂鸣器发声,实现音乐的播放。 2.从TABLE中取出播放音乐的音调(1、2....7)通过改变该表中的值即可实现播放不同的音乐。 3.从TABLE_YP中取出播放音乐的音频,即该音调持续的时间。 4.调整DELAY延时的长短即可实现音乐播放的快慢-Through the drive plate experiment audible buzzer, realize music player. 2. TA
  3. 所属分类:Windows编程

    • 发布日期:2024-06-17
    • 文件大小:3072
    • 提供者:chuanji
  1. music

    0下载:
  2. 利用8051单片机驱动蜂鸣器,实现音乐发声功能。-8051 drivers to use buzzers realize music vocal function.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:马志俭
  1. CH451FMQ

    0下载:
  2. DMAVR-M16的蜂鸣器发声示例程序 编译环境 AVR Studio 4.13/AVR GCC -DMAVR-M16 of the buzzer audible environment compiled sample program AVR Studio 4.13/AVR GCC
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:
  1. key_music_led_new1

    0下载:
  2. 针对arm音乐代码,用蜂鸣器发声,用于开发板的操作,可模仿(Music code, with a buzzer sound, for the development of the board operation, can imitate)
  3. 所属分类:其他小程序

  1. 实验2:蜂鸣器

    0下载:
  2. 利用板子的IO口控制无源蜂鸣器,实现蜂鸣器发声。(Use the IO port of the board to control the passive buzzer, realize the buzzer sound.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-17
    • 文件大小:2660352
    • 提供者:sungoing
  1. 蜂鸣器发声

    0下载:
  2. 电路图 蜂鸣器发出声音 唱音乐 自己做的(The circuit diagram buzzer sounds and sings music itself)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:8148992
    • 提供者:Wicked_Shen
  1. 实验4:蜂鸣器

    0下载:
  2. 51开发板中第4个程序蜂鸣器,运行程序后会自动打开蜂鸣器并发声(The fourth program buzzer in the development board, which will automatically turn on the buzzer and make a sound after running the program)
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-06-17
    • 文件大小:34816
    • 提供者:*行者
  1. 无源蜂鸣器模块+蜂鸣器模块+发声模块

    0下载:
  2. 无源蜂鸣器模块+蜂鸣器模块+发声模块 源代码(passive and buzzer and sound production)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:97280
    • 提供者:阿琪
  1. sp_project

    0下载:
  2. 使用vhdl语言在quatus上编写自定义元件,用原理图实现了蜂鸣器按照音阶一秒换一个音进行循环发声,系统时钟为20m。(Using the VHDL language to write a custom component on the quatus, the buzzer is used to make a circular sound in one second of the phonetic scale, and the sys
  3. 所属分类:文档资料

    • 发布日期:2024-06-17
    • 文件大小:2230272
    • 提供者:殷联合
  1. 蜂鸣器发声

    0下载:
  2. 利用FPGA控制简单的蜂鸣器发声,可变频率(Using FPGA to send and receive serial data, it can communicate with the host computer and other chips.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:84992
    • 提供者:朽木生
  1. 4乘4键盘扫描控制器

    0下载:
  2. 1. 键值采用16进制编码,即16个按键分别对应显示16进制数 0~F,按键对应关系如下:最上面一行从左至右依次为0~3, 第二行从左至右依次为4~7,第三行从左至右依次为8~B,最 下面一行从左至右依次为C~F,其中b、d显示为小写,其他字 母大写; 2. 按键按下时显示当前键值并保持,直到下一按键被按下时更新 显示; 3. 只有按键被按下时蜂鸣器发出按键音,放开后蜂鸣器不发声。 4. 每个按键对应不同的按键音。(1. The key
  3. 所属分类:VHDL编程

    • 发布日期:2020-06-09
    • 文件大小:3444736
    • 提供者:Minbadly
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org