搜索资源列表

  1. 2812Timer

    0下载:
  2. TMS320F2812的定时计数器编程指南,初次上载,请多包涵!-TMS320F2812 Arithmometer Programming Guide, the initial uploading, I feel apologetic for this!
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1816
    • 提供者:zqj
  1. 加减计数器

    0下载:
  2. 软件介绍了,89S52,ICC编程加减计数
  3. 所属分类:汇编语言

    • 发布日期:2009-09-09
    • 文件大小:1355
    • 提供者:glbhdy
  1. 可编程定时/计数器

    0下载:
  2. 微机实验中的可编程定时计数器的实现
  3. 所属分类:其它程序

    • 发布日期:2011-01-09
    • 文件大小:45568
    • 提供者:lianyeyi
  1. anjian

    0下载:
  2. 按键输入模块(key): --可编程延时发生器(数字同步机)的前端输入模块:0-9十个数字键按键输入模块原型 --前端模块:消抖 --对i0-i9十个输入端的两点要求: --(1)输入端要保证一段时间的稳定高电平 --(2)不能同时按下两个或多于两个的键 --后级模块:1、编码;2、可变模计数器 --编码模块:8线-4线(0-8 BCD码) --可变模计数器模块:以编码模块输出的32位BCD码为模值-bu
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:2048
    • 提供者:汪汪
  1. maxshiyan

    0下载:
  2. 大学vhdl语言实验大全,基于max-plus2平台,内有8-3译码器,8位加法器,数字钟,数码显示,74ls138,8,4位计数器,d,rs触发器,加法器,交通灯等,此原码基于长江大学可编程器件实验箱,如要运行在其他平台上需要重新定义管脚-University VHDL language experiment Daquan, based on the max-plus2 platform within 8-3 decoder, 8 A
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:865280
    • 提供者:田晶昌
  1. vb做的RS232指示灯闪烁例子

    0下载:
  2. vb6.0做的RS232指示灯闪烁串口编程例子-vb6.0 do flashing lights RS232 serial programming examples
  3. 所属分类:串口编程

    • 发布日期:2024-06-11
    • 文件大小:2048
    • 提供者:卫平
  1. ttttt

    0下载:
  2. 单片机编程,中断,计数器,定时器,串口通讯,测量温度传感器-microcontroller programming, interrupt, counters, timers, serial communications, temperature measurement sensor
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:2048
    • 提供者:张接
  1. jspnetcode

    0下载:
  2. 《JSP网络编程从基础到实践》的实例代码目录中包含了前10章的所有实例的源代码,实例名称及其所处章节如下: 第1章 JSP技术概述 实例1 第一个JSP页面 第3章 Web开发基础 实例2 HTML与Javascr ipt交互示例 第4章 JSP语法 实例3 JSP程序的基本结构 实例4 简单数据类型综合应用实例 实例5 包装类综合应用实例 实例6 数组应用实例 实例7 字符截取程序
  3. 所属分类:书籍源码

    • 发布日期:2024-06-11
    • 文件大小:395264
    • 提供者:xk
  1. dingshiqi

    0下载:
  2. 单片机编程定时计数器的c语言编程,可以直接应用-Single-chip counters c regular programming language programming, can be applied directly
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:137216
    • 提供者:叶夜
  1. 08_VHDL_simulation2

    0下载:
  2. 台湾人梁奕智写的VHDL编程学习的PPT讲义,里面包括内容有D触发器、寄存器、累加器、计数器、有限状态机等非常有用的内容。-Taiwanese Liang-chi written in VHDL programming learning PPT lectures, which include the contents of D flip-flops, registers, accumulators, counters, finite s
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:690176
    • 提供者:WeimuMa
  1. cont60

    0下载:
  2. 这个压缩程序包含两个60进制计数器的源代码,可供习惯不同编程风格的用户使用-The compression process consists of two 60-band counter source code for different programming style habits of users
  3. 所属分类:Windows编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:吴明星
  1. simple_pic

    0下载:
  2. 简单可编程中断控制器,利用定时计数器的中断请求信号输出中断使能控制信号。-Simple Programmable Interrupt Controller, using regular counter interrupt request signal output enable control signal interruption.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:3072
    • 提供者:李利歌
  1. counter

    1下载:
  2. 相信很多人都可以做得一个计数器的程序,不论是用C#、VB、VC++等编程工具。在这里我所做的这个计数器是使用C#做的,效果可能可其他人所做的不一样。它主要的目的是通过等额还贷对买房子的客户分期付款的金额和要多少年限才能还清钱进行计算。-I believe many people could do a counter procedures, whether they are using C#, VB, VC++ And other pro
  3. 所属分类:C#编程

    • 发布日期:2024-06-11
    • 文件大小:63488
    • 提供者:longlong
  1. test

    0下载:
  2. 一. 实验目的: 1.了解光电开关的使用方法 2.了解利用光电传感器测量电机转速的方法 二.光电测速基本原理 光电测速的基本电路由光电传感器、计数器和定时器组成。测量时在被测电机主轴上固定一个圆盘,圆盘的边缘上打上小孔。传感器的红外发射端和接收端装在圆盘的两测,电机带动圆盘转到有孔的位置时,红外光通过,红外接收管导通,输出低电平。红外光被挡住时接收管截止,输出高电平。用计数器记录在一定时间内传感器发出的脉冲个数就可
  3. 所属分类:汇编语言

    • 发布日期:2024-06-11
    • 文件大小:32768
    • 提供者:杨进
  1. ModifyInstruction

    0下载:
  2. 数字环路滤波器是由变模可逆计数器构成的。 该计数器设计为一个17 位可编程(可变模数) 可逆 计数器,计数范围是,由外部置数DCBA 控制-Digital loop filter is composed of variable-mode reversible counter. The counter is designed to a 17-bit programmable (variable modulus) reversibl
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:xxx
  1. c8051f020pcahs

    0下载:
  2. c8051f020单片机 PCA 可编程计数器阵列 高速输出模式 例程-Programmable Counter Array PCA c8051f020 microcontroller high speed output mode routines
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:deathscythe
  1. AT24C02-with-the-counter

    0下载:
  2. STC单片机AT24C02与计数器编程资料-STC microcontroller AT24C02 programming information with the counter
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:17408
    • 提供者:王达
  1. rmhlue__boundary__abstract

    0下载:
  2. 实现类似于网页计数器的数码显示方法,已对类进行了封装,如果你要使用它(Implementation is similar to web digital display method of the counter has class for the packaging, if you want to use it)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-11
    • 文件大小:34816
    • 提供者:PTDHvcz_29653
  1. 计数器编程

    0下载:
  2. 把定时器0设置为计数功能,对外部输入的脉冲进行计数,并送显示器显示,脉冲由按键产生。(The timer 0 is set as the counting function, counting the external input pulse and sending the display display, the pulse is produced by the key)
  3. 所属分类:其他小程序

  1. 4位BCD计数器

    0下载:
  2. 用Verilog语言编程实现4位BCD计数器的功能(Write the programm with Verilog language to implement the function of 4 - bit BCD counter.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-11
    • 文件大小:25600
    • 提供者:limaozi
« 12 3 4 5 6 7 8 9 10 ... 14 »

源码中国 www.ymcn.org