搜索资源列表

  1. qdq_new

    0下载:
  2. 采用Verilog HDL设计,在掌宇智能开发板上得到实现 根据抢答器的原理,整个电路可划分为三部分:采样电路、门控电路和译码电路- Uses Verilog the HDL design, obtains the realization basis on the palm space intelligence development board to snatch the answering principle, the en
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:65536
    • 提供者:
  1. first4

    0下载:
  2. 4人抢答器的硬件描述语言设计,可以下载测试与仿真,通过EDA开发系统进行调试-four Responder hardware descr iption language design, test and can be downloaded simulation, EDA through the development of system debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:134144
    • 提供者:sunjiacun
  1. baluqiangdaqi

    0下载:
  2. 八路抢答器,通过数码管显示出,选手好码,程序带有仿真,很适合初学者学习。欢迎下载-Answer eight-way, and through digital tube shows a good player code, the procedure with a simulation, it is suitable for beginners to learn. Welcome to download
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-28
    • 文件大小:44032
    • 提供者:day
  1. FPGA

    0下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计-Based on the VHDL language design intellectual Answer my curriculum design
  3. 所属分类:软件工程

    • 发布日期:2024-05-28
    • 文件大小:489472
    • 提供者:滕莹
  1. qiangdaqi

    0下载:
  2. 抢答器。可以直接用QUARTUS2运行,解压无需密码。以前我们做实验的时候用的这个-Answer devices. Can be directly used QUARTUS2 running, unzip without a password. Previous experiments when we used to do this
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:254976
    • 提供者:catalina
  1. vhdl-sirenqiangdaqi

    1下载:
  2. VHDL的四人抢答器,希望对大家有所帮助啊,-Answer four VHDL, and want to help everybody ah,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:159744
    • 提供者:hanohen
  1. zsjs20070890

    0下载:
  2. 基于VHDL8路抢答器系统设计报告,7128S芯片的,有需要的朋友可以-Answer based on the way VHDL8 system design report, 7128S chips, there is a need to be friends
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-28
    • 文件大小:227328
    • 提供者:麦佳
  1. qdq

    0下载:
  2. 微机原理/实现四路抢答器功能的汇编语言表述(课程设计)-Microcomputer Principle/Answer to achieve four-way function of the assembly language statements (curriculum design)
  3. 所属分类:汇编语言

    • 发布日期:2024-05-28
    • 文件大小:2048
    • 提供者:李诗位
  1. a

    0下载:
  2. 8路抢答器 vhdl 实验以及报告-Answer 8 experimental device as well as the report vhdl
  3. 所属分类:软件工程

    • 发布日期:2024-05-28
    • 文件大小:259072
    • 提供者:fufeifei

源码中国 www.ymcn.org