搜索资源列表

  1. FPGA

    0下载:
  2. 基于VHDL语言 智力抢答器的设计 本人的课程设计-Based on the VHDL language design intellectual Answer my curriculum design
  3. 所属分类:软件工程

    • 发布日期:2024-05-24
    • 文件大小:489472
    • 提供者:滕莹
  1. qiangdaqi

    0下载:
  2. 抢答器。可以直接用QUARTUS2运行,解压无需密码。以前我们做实验的时候用的这个-Answer devices. Can be directly used QUARTUS2 running, unzip without a password. Previous experiments when we used to do this
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:254976
    • 提供者:catalina
  1. vhdl-sirenqiangdaqi

    1下载:
  2. VHDL的四人抢答器,希望对大家有所帮助啊,-Answer four VHDL, and want to help everybody ah,
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:159744
    • 提供者:hanohen
  1. zsjs20070890

    0下载:
  2. 基于VHDL8路抢答器系统设计报告,7128S芯片的,有需要的朋友可以-Answer based on the way VHDL8 system design report, 7128S chips, there is a need to be friends
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:227328
    • 提供者:麦佳
  1. a

    0下载:
  2. 8路抢答器 vhdl 实验以及报告-Answer 8 experimental device as well as the report vhdl
  3. 所属分类:软件工程

    • 发布日期:2024-05-24
    • 文件大小:259072
    • 提供者:fufeifei

源码中国 www.ymcn.org