搜索资源列表

  1. FreqCounter

    0下载:
  2. 一个有效位为4位的十进制的数字频率计,VHDL语言编写,已在硬件实验箱上实验通过。-an effective place to four the number of decimal frequency meter, VHDL language, in the box on the experimental hardware experiment.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:3072
    • 提供者:小花猫
  1. 745221frequency

    0下载:
  2. 用Verilog HDL / VHDL实现的数字频率计(完整实验报告)-Using Verilog HDL/VHDL realization of digital frequency meter (complete test report)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:145408
    • 提供者:倪亮

源码中国 www.ymcn.org