搜索资源列表

  1. anti_tr2

    0下载:
  2. 防抖电路设计,采用计数器内部及时,科以有效防止按键抖动带来的错误操作-camera circuit design, the internal counter using timely, and in the keys to effectively prevent the wrong jitter operation
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:837
    • 提供者:高晖
  1. 20063161712468

    0下载:
  2. 序(约延时8ms)后再回到按键查询状态,不断循环;有按键时,LED动态显示子程序作为按键防抖延时被连续调用二次(约延时16ms),待按键处理程序执行完后,再回到按键查询状态,同时兼顾了按键扫描取值的准确性和LED动态显示的稳定性。秒定时采用定时器T0中断方式进行,60秒计数由定时-sequence (about 8ms delay) to come back button inquiries and continuously cycle
  3. 所属分类:编译器/词法分析

    • 发布日期:2008-10-13
    • 文件大小:74877
    • 提供者:递减化
  1. keyscan_new

    0下载:
  2. 按键扫描的程序,优化还需要加双重防抖,解释按键的值或者名称-button scanning procedures, optimizing also required to double Fangdou explain the value of the keys or name
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1518
    • 提供者:greatdo
  1. touchpanal2

    0下载:
  2. 用freescale 8bitMCU做的触摸屏软件,体积小功能大,带防抖和滤波
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:281786
    • 提供者:叶子
  1. key1

    0下载:
  2. 单片机键盘输入扫描方式以及防抖处理,此方法为普遍输入方法.
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:38749
    • 提供者:honyry
  1. alarm

    0下载:
  2. 1.6个数码管动态扫描显示驱动 2.按键模式选择(时\\分\\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、动态扫描显示驱动模块、顶层模块。要求有闹钟定闹功能,时、分定闹即可,无需时、分、秒定闹。要求使用实验箱左下角的6个动态数码管(DS6 A~DS1A)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:622072
    • 提供者:xulina
  1. top1

    0下载:
  2. 1.6个数码管静态显示驱动 2.按键模式选择(时\\分\\秒)与调整控制 3.用硬件描述语言(或混合原理图)设计时、分、秒计数器模块、按键控制状态机模块、显示译码模块、顶层模块。要求使用实验箱右下角的6个静态数码管(DS8C, DS7C, DS4B, DS3B, DS2B, DS1B)显示时、分、秒;要求模式按键和调整按键信号都取自经过防抖处理后的按键跳线插孔。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:250841
    • 提供者:xulina
  1. clock

    0下载:
  2. verilog编写的时钟控制程序,在xilinx芯片上开发。具有案件防抖等考虑,
  3. 所属分类:通讯编程

    • 发布日期:2008-10-13
    • 文件大小:10133
    • 提供者:王忠
  1. watch_v1

    2下载:
  2. 数字跑表 该跑表具有启动、复位、暂停、暂停后继续计时等功能 能显示的秒计数时间精确到小数点后第二位,即能显示**.**s 按钮设置防抖
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:536397
    • 提供者:何川
  1. MyClock

    0下载:
  2. 可调时钟 实验要求:1.能正常显示一天24小时时间 2.具有清零、各位均可调功能 3.按钮设置防抖 4.可调不能通过将该位置零,然后用计数器连续加1的方法实现,并且实现每一位都单独可调
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:488647
    • 提供者:张星
  1. key

    0下载:
  2. 基于PIC18F2580实现的键盘控制程序(带防抖功能) C语言写的
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:11583
    • 提供者:fany
  1. Keypad_MCU_Program

    0下载:
  2. 本人设计的用802051实现的键盘程序的识别代码。系统采用定时查询,防抖。如果监测到你一个代码,系统将键盘码输出。然后给我你个中断,用于提示中心机,读取键码。支持长按。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:17845
    • 提供者:电子爱好者
  1. anti_tr2

    0下载:
  2. 防抖电路设计,采用计数器内部及时,科以有效防止按键抖动带来的错误操作-camera circuit design, the internal counter using timely, and in the keys to effectively prevent the wrong jitter operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:高晖
  1. keyscan_new

    0下载:
  2. 按键扫描的程序,优化还需要加双重防抖,解释按键的值或者名称-button scanning procedures, optimizing also required to double Fangdou explain the value of the keys or name
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:greatdo
  1. touchpanal2

    0下载:
  2. 用freescale 8bitMCU做的触摸屏软件,体积小功能大,带防抖和滤波-Freescale 8bitMCU done with touch-screen software, small size and functional, with Anti-Shake and filtering
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-18
    • 文件大小:281600
    • 提供者:叶子
  1. key1

    0下载:
  2. 单片机键盘输入扫描方式以及防抖处理,此方法为普遍输入方法.-Scan Mode Single-chip Microcomputer keyboard input, as well as anti-shake deal with this method for general Input Method.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-18
    • 文件大小:38912
    • 提供者:honyry
  1. Antishakeswitchprocedure

    0下载:
  2. 这是一个很好的VHDL防抖程序,内有详细讲解,可作为常用的子程序收藏使用-This is a very good anti-shake VHDL procedures, with detailed explanations, can be used as the use of commonly used subroutines Favorites
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:liaoyintang
  1. Led

    0下载:
  2. 本程序有效的防止了按键的抖动,可以移植于各种需要按键防抖的程序,本程序是功能为按键防抖16进制减法计数器-debounced counter VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:288768
    • 提供者:hide tyou
  1. D

    0下载:
  2. 实现了程序控制的反馈移位寄存器,包括开关防抖,时钟整形,移位,和码型控制-Achieve program control of the feedback shift register, including anti-shake switch, clock shaping, shift, and code-mode control
  3. 所属分类:汇编语言

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:datrick
  1. key_filter

    0下载:
  2. 采用Verilog语言的编写按键防抖代码,并通过modlesim进行验证(Using the Verilog language to write key anti - chattering code and verify it by modlesim)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-18
    • 文件大小:3240960
    • 提供者:hay_123
« 12 3 4 5 6 »

源码中国 www.ymcn.org