搜索资源列表

  1. 1024点FFT快速傅立叶变换(vhdl)

    0下载:
  2. 1024点FFT快速傅立叶变换,(vhdl代码)-1024-point FFT vhdl
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:581436
    • 提供者:咱航
  1. 1024_FFT

    0下载:
  2. 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip-1024-point FFT fast Fourier transform, and includes documentation, VHDL source code, 16 input / output, with DMA function, the ip xilinx
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:629675
    • 提供者:刘超
  1. 1024FFT

    0下载:
  2. 1024点FFT快速傅立叶变换 VHDL语言实现
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:436474
    • 提供者:libukun
  1. 1024点FFT快速傅立叶变换(vhdl)

    0下载:
  2. 1024点FFT快速傅立叶变换,(vhdl代码)-1024-point FFT vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:581632
    • 提供者:
  1. 1024_FFT

    0下载:
  2. 1024点FFT快速傅立叶变换,包含说明文档和VHDL源代码,16位输入/输出,带DMA功能,xilinx的ip-1024-point FFT fast Fourier transform, and includes documentation, VHDL source code, 16 input/output, with DMA function, the ip xilinx
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:629760
    • 提供者:刘超
  1. 1024FFT

    0下载:
  2. 1024点FFT快速傅立叶变换 VHDL语言实现-1024-point FFT Fast Fourier Transform VHDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:436224
    • 提供者:libukun
  1. cf_fft_1024_8

    0下载:
  2. 用verilog编写的1024点的fft快速傅立叶变换-Verilog prepared using 1024 point fft Fast Fourier Transform
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:11264
    • 提供者:xlxiaoling
  1. 1024FFVHDL

    0下载:
  2. 1024点基2时分FFT快速傅立叶变换(vhdl) -1024-point radix-2 FFT Fast Fourier transform peak (vhdl)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:617472
    • 提供者:leo
  1. fft_1024

    0下载:
  2. 1024点的FFT变换,很实用,用于单片机做快速傅立叶变换-1024-point FFT transform, it is practical, fast Fourier transform for the microcontroller to do
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-24
    • 文件大小:63488
    • 提供者:aguo
  1. fft_1024_hdl

    0下载:
  2. 1024点FFT快速傅立叶变换(vhdl)-1024 point FFT in the FPGA enviroment
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:18432
    • 提供者:zhanglili
  1. 7788

    0下载:
  2. 用verilog编写的1024点的fft快速傅立叶变换-Written in 1024 by verilog point fast Fourier transform fft
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:12288
    • 提供者:yangyang
  1. ee

    0下载:
  2. 快速傅立叶变换(FFT)是离散傅立叶变换的一种有效的算法,通过选择和重新排列中间结果,减小运算量。DFT有大量重复的cos、sin计算,FFT的作用就是用技巧减少cos、sin项重复计算。当采样点数为1024点,DFT要求一百万次以上计算量,而FFT则只要求一万次。-cout width cout width cout width cout width cout width cout width cout width cout widt
  3. 所属分类:汇编语言

    • 发布日期:2024-05-24
    • 文件大小:1024
    • 提供者:zz
  1. verilog1024fft

    0下载:
  2. 1024点的fft快速傅立叶变换verilog代码-1024 point fft verilog code for Fast Fourier Transform
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:37888
    • 提供者:俞佳宝
  1. verilog1024point-fft

    0下载:
  2. verilog编写的1024点的fft快速傅立叶变换代码-verilog prepared 1024 point fft Fast Fourier Transform code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:29696
    • 提供者:fd
  1. 1024点FFT快速傅立叶变换

    0下载:
  2. 1024点FFT快速傅立叶变换工程例子,用于FPGA(1024 point FFT fast Fu Liye transform engineering examples for FPGA)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-24
    • 文件大小:523264
    • 提供者:

源码中国 www.ymcn.org