搜索资源列表

  1. div2

    2下载:
  2. 32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1528
    • 提供者:李春阳
  1. testbench

    0下载:
  2. 32位除法器的测试程序, 由随机向量产生函数产生一组随机数 来验证计算书否正确
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:5660
    • 提供者:李春阳
  1. divider

    0下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2323
    • 提供者:朱秋玲
  1. 32divider

    0下载:
  2. 32位元2進位除法器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1870
    • 提供者:chen
  1. div2 32位除法器

    0下载:
  2. :32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码-32
  3. 所属分类:Windows编程

  1. 除法器verilog

    0下载:
  2. 32位除法器,verilog编写
  3. 所属分类:源码下载

  1. div2

    0下载:
  2. 32位除法器 被除数和除数均为16位整数,16位小数 商为32位整数,16位小数 余数为16位整数,16位小数 Verilog HDL 代码-32 divider dividend and divisor are 16-bit integer, decimal 16 for the 32-bit integer, 16-bit decimal number more than 16 integer, 16-bit dec
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:李春阳
  1. testbench

    0下载:
  2. 32位除法器的测试程序, 由随机向量产生函数产生一组随机数 来验证计算书否正确-32 divider test procedures, by the random vector generated a set of functions to generate random numbers to verify whether the correct calculation of the book
  3. 所属分类:其他小程序

    • 发布日期:2024-05-09
    • 文件大小:5120
    • 提供者:李春阳
  1. divider

    1下载:
  2. 此代码用于实现基2的SRT除法器设计,可以实现400MHz以上的32位定点无符号数除法器(除数、被除数和余数均由16位整数和16位小数组成,商由32位整数和16位小数构成,包括源代码和测试文件,可以直接仿真。-This code used to realize the base 2 SRT divider design, you can realize more than 400MHz unsigned 32-bit fixed-poi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:朱秋玲
  1. divider

    0下载:
  2. 基于srt-2算法,利用verilog实现16位定点无符号数除法器(除数、被除数均由16位整数和16位小数组成,商由32位整数和16位小数构成,余数由32位小数组成)-Based on the srt-2 algorithm, the use of Verilog to achieve 16-bit unsigned fixed-point divider number (divisor, dividend by 16-bit inte
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:3072
    • 提供者:刘蒲霞
  1. 32divider

    0下载:
  2. 32位元2進位除法器 -32-bit binary divider 2
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:chen
  1. div(FLP)

    0下载:
  2. 是Nios II處理器下客製化指令的一個32位元浮點數除法器,可將兩IEEE 754格式的值進行相除-Nios II processors are customized instruction under a 32-bit floating-point divider can be two format IEEE 754 value division
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:18432
    • 提供者:TTJ
  1. fpga_chufaqi

    0下载:
  2. 基于fpga的32位除法器的设计,开发环境vhdl-Fpga-based 32-bit divider design, development environment vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:265216
    • 提供者:贾恒龙
  1. divider

    0下载:
  2. FPGA除法器的使用32位的,有商和余数-FPGA using 32-bit divider, there are the quotient and remainder
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:余木
  1. chufaqi

    0下载:
  2. 介绍了一种使用可编程逻辑器件FPGA和VHDL语言实现32位除法器的设计方法。该除法器不仅可以实现有符号数运算,也可以实现无符号数的运算。-A programmable logic device FPGA and VHDL design of the 32 divider. The divider can be achieved not only symbolic arithmetic, unsigned op.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:guoting
  1. divider_32bitdivby16bit

    0下载:
  2. verilog代码实现的32位除以16位无符号整数除法器,在别人8位除法器的基础上改进完成,32个时钟周期完成一次运算。-verilog code for 32-bit divided by 16-bit unsigned integer divider it s based on other guy s 8 bit divider verilog code. it need 32 clock cycles to complete a
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:jiang
  1. con_addr_32

    0下载:
  2. 因为二进制加法的进位只可能是1或0,所以可以将32位加法器分为8块(最低一块由4位先行进位加法器直接构成,其余加法结构都采用先行进位加法器结构)分别进行加法计算,除最低位以外的其他7块加法器结构各复制两份,进位输入分别预定为1和0。于是,8块加法器可以同时进行各自的加法运算,然后根据各自相邻低位加法运算结果产生的进位输出,选择正确的加法结果输出。-Because binary adder carry only be 1 or 0, so
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:2048
    • 提供者:Peter
  1. 32-bit-division-design-In-Verilog

    0下载:
  2. 32位除法器,基于状态机设计,使用Verilog实现-32-bit division based on state machine. Using Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:yangd
  1. DIV

    0下载:
  2. 最新修改 veilog 除法器,32位除16位,输出数据锁存-//divider dividend divisor* quotient+ remainder //dividend 32 bit //divisor 16 bit //quotient 32 bit //remainder 32 bit //need 32 clk to finish the calculation //start
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:顺星
  1. DIV

    0下载:
  2. 将两个32 有符号数相除,得到一个32 位商和余数,其中余数符号与被除数符号相同。(Two 32 Division has a number of symbols, get a 32 bit quotient and remainder, the remainder with the same divisor symbol symbol.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-09
    • 文件大小:1024
    • 提供者:SunFlowers_chao
« 12 »

源码中国 www.ymcn.org