搜索资源列表

  1. 38decoder

    0下载:
  2. 使用Verilog硬件描述语言编程的38译码器,包含测试描述
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:69651
    • 提供者:sss
  1. LAB2

    0下载:
  2. 38译码器的设计,使用vhdl设计译码器,可以下载到开发板上看结果
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2393537
    • 提供者:孙冰
  1. 38译码

    0下载:
  2. 基于matlab的38译码器,能正常运行。
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:2796
    • 提供者:chzhang_auts
  1. 38译码器

    0下载:
  2. 基于matlab的38译码器,已通过运行。
  3. 所属分类:源码下载

    • 发布日期:2010-10-07
    • 文件大小:3321
    • 提供者:chzhang_auts
  1. decoder_38_vhdl

    0下载:
  2. FPGA 的VHDL实现的38译码器
  3. 所属分类:VHDL编程

  1. 38译码器

    0下载:
  2. 用labview实现38译码器功能
  3. 所属分类:源码下载

    • 发布日期:2012-05-13
    • 文件大小:7696
    • 提供者:ws31968
  1. 38decoder

    0下载:
  2. 使用Verilog硬件描述语言编程的38译码器,包含测试描述-Using Verilog hardware descr iption language programming decoder 38 contains the test descr iption
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:69632
    • 提供者:sss
  1. LAB2

    0下载:
  2. 38译码器的设计,使用vhdl设计译码器,可以下载到开发板上看结果-38 decoder design, the use of decoder VHDL design, you can download to watch the outcome of the development board
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2393088
    • 提供者:孙冰
  1. 38

    0下载:
  2. 程序提供了一种高效简单的38译码器的算法,非常实用-Procedure provides a simple and efficient algorithm decoder 38, a very practical
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:140288
    • 提供者:sh85
  1. 38yima

    0下载:
  2. 本文为用vhdl语言编写的38译码器,为doc格式,请先复制到相应软件例如maxplus中再使用。-This article was prepared by using VHDL language decoder 38 for doc format, please copy to the appropriate software such as maxplus in the re-use.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:网天才
  1. travel

    0下载:
  2. 自己做的vhdl课程设计,交通灯:实现主干道倒计时,分别为30,20,5秒,分情况:当主干道有车时,红黄绿交替,当只一个道路上有车时,那个道的交通灯变绿色,利用max+plus2做成,使用flex8000,epf8282alc84_4只用加一个38译码器模块即可,使用别的板子也可以运行-VHDL to do their own curriculum design, traffic lights: the realization of t
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:529408
    • 提供者:安治州
  1. dec3_8

    0下载:
  2. 有VHDL写的一个38译码器,并付仿真波形.-VHDL has written a decoder 38, and pay the simulation waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:57344
    • 提供者:陈阿水
  1. Seg7

    0下载:
  2. 四位数码管驱动程序,51单片机为mcu,采用74h138驱动,38译码器-qudongchengxu
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-21
    • 文件大小:20480
    • 提供者:yangyi
  1. 38

    0下载:
  2. 采用CASE语句设计3-8译码器的示例程序-Designed using CASE statement 3-8 decoder examples of procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:1024
    • 提供者:赵朴
  1. 38.58

    0下载:
  2. 基于VDHL的38译码器的实现与58分频器的实现 FPGA主芯片:CycloneII EP2C35F672C6-Based on VDHL decoder 38 with the divider 58 to achieve the main FPGA chip: CycloneII EP2C35F672C6
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:4599808
    • 提供者:alan
  1. 38-decoder

    0下载:
  2. 38译码器,和一般的38译码器一样,二进制与十进制的对应-Decoder 38 and decoder 38 in general, as the corresponding binary and decimal
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:212992
    • 提供者:蔡宇佳
  1. decoder38-ok-38

    0下载:
  2. 基于Quartus II软件实现38译码器功能。-Decoder function to achieve 38
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:115712
    • 提供者:董怡静
  1. 123

    0下载:
  2. 3路输入,8路输出的译码器,利用FPGA,BASYS3板子实现该功能,文件已有源代码,仿真代码和约束文件。(3 way input, 8 way output decoder, using FPGA, BASYS3 board to achieve the function, the document already has source code, simulation code and constraint files.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:9216
    • 提供者:智者。
  1. decoder38-ok-38译码器

    0下载:
  2. 使用quartus2软件的VHDL编写了简单38译码器,希望大家能积极学习(The use of quartus2 software VHDL prepared a simple 38 decoder, I hope we can actively study)
  3. 所属分类:VHDL编程

  1. 3_8_decoder_20170407

    0下载:
  2. 一个简单的38译码器程序,内附真值表,在本实验例程程序中用于Cyclone 2。(A simple program for 38 decoder.)
  3. 所属分类:VHDL编程

« 12 3 4 5 »

源码中国 www.ymcn.org