搜索资源列表

  1. VHDL语言100例(普通下载)

    2下载:
  2. VHDL语言100例 VHDL语言100例 第1例 带控制端口的加法器 第2例 无控制端口的加法器 第3例 乘法器 第4例 比较器 第5例 二路选择器 第6例 寄存器 第7例 移位寄存器 第8例 综合单元库 第9例 七值逻辑与基本数据类型 第10例 函数 第11例 七值逻辑线或分辨函数 第12例 转换函数 第13例 左移函数 第14例 七值逻辑程序包 第15例 四输入多路器 第16例 目标选择器 第1
  3. 所属分类:书籍源码

    • 发布日期:2009-04-15
    • 文件大小:344064
    • 提供者:wfl.a@163.com
  1. traffic_lights

    0下载:
  2. Verilog语言3个程序,包括4位二进制的BCD码加法器,ALU位片,交通信号灯。既有源码也有word文档说明。-Verilog language three procedures, including 4-bit binary code of the BCD adder, ALU-bit chip, traffic lights. Only source documents that have word.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:1596416
    • 提供者:郭函
  1. verilog-example

    0下载:
  2. 4位并行乘法器 4位超前加法器 ALU 计数器 滤波器 全加器 序列检测器 移位器-failed to translate
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-10
    • 文件大小:6144
    • 提供者:向死而生
  1. VHDL_book2

    0下载:
  2. add4a:4位加法器的设计 add8a:8位加法器的设计 subtract:4位减法器的设计 addsub: 4位加法器/减法器的设计 shift4:移位寄存器的设计 mult4:乘法器设计 div8:除法器设计 alu4:算术逻辑单元ALU设计-add4a: 4-bit adder design add8a: 8 bit adder design subtract: 4-bit subtr
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-10
    • 文件大小:3258368
    • 提供者:贾诩
  1. alu

    0下载:
  2. 实现了四位快速加法器,并在此基础上实现了16位和32为快速加法器(Based on the implementation of four bit fast adder, 16 bit and 32-bit fast adders are realized)
  3. 所属分类:GIS编程

    • 发布日期:2024-06-10
    • 文件大小:35840
    • 提供者:啊谬

源码中国 www.ymcn.org