搜索资源列表

  1. TM1616

    0下载:
  2. 单片机是新塘M0系列,数码管驱动是TM1616,4位7段数码管,可以实现显示,文件包含源代码和头文件-MCU is xintang M0 series, digital tube driver is TM1616, four seven segment digital tube, can realize, according to the file contains the source code and header files
  3. 所属分类:C#编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:
  1. seven-segments

    0下载:
  2. 这个代码可以在Artix-7实现在数码管上显示一些字符的功能,通过修改源码内容可以显示其他字符-The code can display strings on the seven-segment screen.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:2710528
    • 提供者:wph
  1. C

    0下载:
  2. 输出电路的设计,包括驱动继电器,驱动蜂鸣器霹雳灯,七段LED数码管,BCD拨码开关,多个按钮开关,程序5是跑马灯,4*4键盘与七段LED数码管,程序6是各种中断程序7中涉及闪烁灯的中断和查询方式,频率发生器,频率计和看门狗定时器,程序8涉及点对点互传,多处理器通信,程序9涉及简易电子琴,快乐点唱机,生日快乐歌等,程序10涉及用延迟子程序产生驱动信号,用定时器产生驱动信号。程序11涉及ADC温控实验,程序12涉及LED点阵的程序程序13涉
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:93184
    • 提供者:杨佩佩
  1. ywntSQL

    0下载:
  2. 1、增加后台更换界面功能 2、优化后台结构和大量更改后台内容使更具有可操作性 3、美化后台 4、加入会员每天看电影限 5、加入后台控制网站开关 6、加入隐藏电影选项 7、加入广告功能 8、更改网吧模式为IP段 9、修改注入问题 10、增加演员分类 11、增加地区分类 12、后台增加图片管理 13、后台增加空间查看-1, increase the background to replace
  3. 所属分类:Web服务器

    • 发布日期:2024-05-19
    • 文件大小:2213888
    • 提供者:tjsj
  1. S12X_KEYBOARD_DISPLAY_CW

    0下载:
  2. 4*4键盘键值读取并在七段数码管上显示程序 如键盘原理图4根行线分别接至PH0~3,4根列线分别接至PH4~7。行线所接I/O口作为MCU的输出端,列线所接的I/O口则作为MCU的输入。当没 有按键按下时,所有输入端都是高电平。当有按键按下时则进入中断采用逐行扫描法确定按键位置。-4* 4 keyboard keys to read and display program on the seven-segment LED
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:237568
    • 提供者:Tony
  1. Digital-Frequency-Meter

    0下载:
  2. 该数字频率计使用EDA软件QUARTUS II编写,实现以下的3个功能: 1. 测试频率范围为:1Kz~99999999Hz, 2. 基准信号频率为1MHz; 3. 用8位十进制7段数码显示译码器显示所测信号的频率值。 -The digital frequency meter using EDA software QUARTUS II prepared to fulfill the following three fun
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:11808768
    • 提供者:乡树
  1. number_display

    0下载:
  2. FPGA控制4个7段数码管动态显示,可以为FPGA其他实验做校验中间结果使用- FPGA control 4 LED Segment Displays to dynamic display, can be used for FPGA other experiments to do check the results of the middle
  3. 所属分类:LabView

    • 发布日期:2024-05-19
    • 文件大小:5745664
    • 提供者:毕先生
  1. FPGA_number_display

    0下载:
  2. FPGA控制4个7段数码管动态显示,可以为FPGA其他实验做校验中间结果使用-FPGA control 4 LED segment displays to dynamic display, can be used for FPGA other experiments to do check the results of the middle
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:5741568
    • 提供者:毕先生
  1. LED_seg7

    0下载:
  2. 基于DE2-70开发板的八个按键7段数码管的检测程序,可控制数码管刷新显示0-f等十六个字符-Based on the DE2-70 development board eight buttons seven segment digital tube detection procedures, can be controlled digital refresh pipe 0 f 16 characters
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:370688
    • 提供者:吉泽
  1. jd100gb3

    0下载:
  2. 1 精点留言本:微型论坛、多功能留言本; 2 必须注册发言、无需注册发言、发言审核显示、回复审核显示、定时开放注册与发贴; 3 各版块不同的属性:如普通,会员,展示,回复,认证,图象,隐藏等; 4 有IP锁功能:锁定IP段不能查看,锁定IP段不能注册; 5 有发贴时间间隔,注册后多久才能发贴设置,词语过滤等,有效防止垃圾留言; 6 丰富的贴子管理:置顶、锁定、删除、屏蔽、转移,定时置顶等; 7 轻松的模板制做功能
  3. 所属分类:Web服务器

    • 发布日期:2024-05-19
    • 文件大小:1599488
    • 提供者:涂宏
  1. Seven_segment_display

    0下载:
  2. SEVEN SEGMENT DISPLAY, ON VHDL, ISE DESIGN SUITE 14.7, XILINX
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:228352
    • 提供者:Victorito10
  1. Main

    0下载:
  2. 给出 n 条线段(数轴上的整点线段),对于在其中选择 k 条的所有方案,求选中线段的交线段包含的整点数目的和。答案取模 1e9+7。 http://codeforces.com/problemset/problem/689/E n 条线段相互交叉,形成了多个小线段。计算每个小线段被多少给出的线段包含。例如一个长 5 的小线段被 9 个线段包含,而题目要求选择 3 个区间,那麽这个小区间对答案的贡献就是 5 × pas
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:gu_castle
  1. liuyanbook

    0下载:
  2. 1、支持状态开关:留言本总开关,留言开关,搜索开关,头像开关,审核开关,悄悄话开关,加密悄悄话开关 2、IP屏蔽策略,屏蔽特定IP段,或只允许特定IP段 3、内容过滤策略,支持正则表达式,满足过滤条件时替换字符或拒绝留言 3、界面各元素尺寸在参数配置中可调 4、高级删除:根据一定条件批量删除留言 5、支持置顶公告、置顶留言 6、各页面有访问统计 7、可以发悄悄话并加密(如果开启),不加密时回复公开显示 -
  3. 所属分类:WEB源码

    • 发布日期:2024-05-19
    • 文件大小:2089984
    • 提供者:刘东
  1. external-interrupt

    0下载:
  2. 1.单片机的INT0引脚上连接了一个按键,按键的一端接地,另一端通过上拉电阻连接到INT0,对按键被按下的事件计数,并且在3个8段数码管上显示出来。另一个普通I/O引脚上连接一个按键用于清零计数,当计数达到999或者清零按键按下时,数码管被归零,重新开始计数。 2.硬件电路要求:单片机使用P1口驱动3位7段共阳极数码管,使用P2.0—P2.2口选择用于显示的数码管,外部中断引脚INT0(P3.2) 上连接了按键S1,用于中断计数,外
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:16695296
    • 提供者:崔云翔
  1. ZYH

    0下载:
  2. (7,4)汉明译码、串口接收和数码管显示综合实验。在该实验中,要求能够利用计算机的串口发送汉明码字(可以是没有错误的汉明码字,也可以是有一个比特错误的汉明码字);然后利用FPGA进行串口数据接收;接收后进行(7,4)汉明译码,并将译码后的结果送给七段数码管进行显示。要求使用4个七段数码管,其中2个数码管用于显示从串口接收到的数据,另一个数码管用于显示汉明译码后的正确信息比特,最后一个数码管用于指示出错比特的位置。-(7,4) Hammi
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:308224
    • 提供者:zyhhyz
  1. 8、交通灯

    0下载:
  2. //---定义IO口---// #define GPIO_DIG P0 //显示段码端口,74HC573锁存器; #define GPIO_PALCE P1 //数码管片选端口,74HC138译码器; #define GPIO_TRAFFIC P2 //交通灯端口; sbit RED10=P2^0; //上人行道红灯; sbit GREEN10=P2^1; //上人行道绿灯; sbit RED1
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:26624
    • 提供者:9956
  1. stb_panel_fd650

    0下载:
  2. 显示模式:8段×4位 ? 段驱动电流不小于25mA,字驱动电流不小于150mA ? 提供8级亮度控制 ? 键盘扫描:7×4bit ? 高速两线式串行接口 ? 内置时钟振荡电路 ? 内置上电复位电路 ? 支持3V-5.5V电源电压 ? 提供DIP16(FD650K)及SOP16(FD650S)封装(displaymode : 8 segments and 4 chars segment drive current i
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:6144
    • 提供者:鼻涕虫
  1. teldata

    0下载:
  2. 运营商号段,db文件,通过前7位判断是移动、联通还是电信号段(Operation business segment, DB file, through the first 7 judgments are mobile, Unicom or electrical signal segment)
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:1553408
    • 提供者:豚翔海底
  1. Segy文件分析 v1.4

    1下载:
  2. 功能: 1、查看卷头、道头的主要信息 2、工作站格式与微机格式的相互转换 3、各道数据的波形显示,及其频谱分析 4、多种形式的数据输出,可输出文本文件 5、三维数据体的分析,得出工区角点坐标 6、原始炮数据扫描,找出缺炮的道号 7、对数据体进行任意道及时间段的切割 8、对多个Segy文件进行合并处理 9、给出读取微机格式数据的示意性代码(Function: 1. Check the main inf
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:536576
    • 提供者:云图
  1. 工具源码

    1下载:
  2. 工具功能 1:漏洞扫描 2::0day测试 3:cms漏洞扫描 4:旁注c段查询 5:sql注入攻击 6:SQL注入检测 7:漏洞URL采集 8:CMS识别 9:Getshell自动 10:穷举爆破 11:漏洞爬行 12:安全文章对接推送 13:Struts2-045 -016 测试 14:信息收集 15:MD5解密 16:端口扫描(Tool function 1: vulnerability scan
  3. 所属分类:Internet/网络编程

    • 发布日期:2024-05-19
    • 文件大小:11264
    • 提供者:蟹蟹嫌
« 1 2 ... 28 29 30 31 32 33»

源码中国 www.ymcn.org