搜索资源列表

  1. ALU_verilog

    0下载:
  2. 用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2438
    • 提供者:颜心馨
  1. ALU_verilog

    0下载:
  2. 用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件-Verilog languages with four arithmetic logic unit ALU, functional reference to 74,181, including. V documents and testing. Vwf document
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:2048
    • 提供者:颜心馨
  1. yunsuanqi

    0下载:
  2. maxplus下连接硬件实现74181运算器-Connect the hardware to achieve maxplus calculator 74181
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-17
    • 文件大小:130048
    • 提供者:wenyu
  1. alu_16

    1下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器。-Three 16-bit integer
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:yifang
  1. work1

    0下载:
  2. 验证74181的功能 已通过仿真-Authentication function of the 74181,through simulation
  3. 所属分类:文档资料

    • 发布日期:2024-05-17
    • 文件大小:159744
    • 提供者:liuyong
  1. work2

    1下载:
  2. 用74181和74182设计的一个8位运算器 已通过仿真-Designed by 74181 and 74182 of an 8-bit arithmetic logic unit has been through simulation ~~~~~~~~~~~~~~~~~~~~~
  3. 所属分类:文档资料

    • 发布日期:2024-05-17
    • 文件大小:201728
    • 提供者:liuyong
  1. ALU_design

    0下载:
  2. 74181alu vhdl设计,欢迎您的下载-74181alu vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:318464
    • 提供者:wws
  1. YYPP

    0下载:
  2. 计算机组织与系统结构实验 用一个74182芯片和四个74181芯片构成一个4位逻辑算数运算器,实现平台为Cyclone II EP2C35F672C6-Computer Organization and Architecture Designing for Performance Experiment
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:265216
    • 提供者:欧泽林
  1. 74181ALU

    0下载:
  2. alu功能。实现计算机的数字运算。运用的是74181芯片-alu function. The number of computer-based operations. Use the 74181 chip. .
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:刘墉
  1. arithmeticunit

    0下载:
  2. 实验一 运算器实验 一、实验目的: 1.掌握运算器的组成及工作原理; 2.了解4位函数发生器74181的组合功能,熟悉运算器执行算术操作和逻辑操作的具体实现过程; 3.验证带进位控制的74181的功能。 -A calculator for a test experiment, experiment: 1. Master computing device and its working principle 2.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:57344
    • 提供者:董俐
  1. myboothmul

    1下载:
  2. 三种16位整数运算器的ALU设计方法,调用库函数74181(4位ALU),组成串行16位运算器。(用74181的正逻辑) B.调用库函数74181和74182,组成提前进位16位运算器。(用74181的正逻辑) 注意:调74181库设计,加进位是“0”有效,减借位是“1”有效,所以最高位进位或借位标志寄存器要统一调整到高有效 C.用always @,case方式描述16位运算器-Three 16-bit integer arithmet
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:177152
    • 提供者:王川
  1. ALU

    0下载:
  2. quartus ii 13.0 based,74181 vhdl code implementation
  3. 所属分类:文档资料

    • 发布日期:2024-05-17
    • 文件大小:2112512
    • 提供者:cccwe1

源码中国 www.ymcn.org