搜索资源列表

  1. 8b_10b

    3下载:
  2. vhdl编写,8b—10b 编解码器设计 Encoder: 8b/10b Encoder (file: 8b10b_enc.vhd) Synchronous clocked inputs (latched on each clock rising edge) 8-bit parallel unencoded data input KI input selects data or contro
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:73116
    • 提供者:聂样
  1. qianzhaoyitaiwang

    1下载:
  2. pdf格式电子书 第一部分 千兆以太网基础 第1章 千兆网之前的以太网 第2章 从共享介质到专用介质 第3章 从共享式LAN到专用LAN 第4章 全双工以太网 第5章 帧格式 第6章 以太网流量控制 第7章 以太网的介质无关性 第8章 自动配置 第二部分 千兆以太网技术 第9章 千兆以太网体系结构及概述 第10章 千兆以太网介质访问控制 第11章 千兆以太网集线器 第12章 千兆以太网的物理层 第13章 千兆以太网标准简介 第三部分 千
  3. 所属分类:开发工具

    • 发布日期:2008-10-13
    • 文件大小:12334494
    • 提供者:许先生
  1. qianzhaoyitaiwang

    1下载:
  2. pdf格式电子书 第一部分 千兆以太网基础 第1章 千兆网之前的以太网 第2章 从共享介质到专用介质 第3章 从共享式LAN到专用LAN 第4章 全双工以太网 第5章 帧格式 第6章 以太网流量控制 第7章 以太网的介质无关性 第8章 自动配置 第二部分 千兆以太网技术 第9章 千兆以太网体系结构及概述 第10章 千兆以太网介质访问控制 第11章 千兆以太网集线器 第12章 千兆以太网的物理层 第13章 千兆以太网标准简介 第三部分 千
  3. 所属分类:电子书籍

    • 发布日期:2024-06-14
    • 文件大小:12334080
    • 提供者:许先生
  1. Giga8b10b v10

    0下载:
  2. 可编程器件厂商Altera出品的8b10b编码器,用在现在通用的PCI-Express接口中,包含完全解密的源程序。-Altera programmable device manufacturers buy 8b10b encoder, now with the generic PCI-Express interface, including full decryption of the source.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:18432
    • 提供者:宋云成
  1. 8b10b_Decoder

    0下载:
  2. 应用VHDL设计的8b10b解码器源文件,实现高速的串行数据传输。-application VHDL design 8b10b decoder source, the realization of high-speed serial data transmission.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:18432
    • 提供者:
  1. 8b_10b

    0下载:
  2. vhdl编写,8b—10b 编解码器设计 Encoder: 8b/10b Encoder (file: 8b10b_enc.vhd) Synchronous clocked inputs (latched on each clock rising edge) 8-bit parallel unencoded data input KI input selects data or contro
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:72704
    • 提供者:聂样
  1. 8b10b_encdec

    0下载:
  2. VHDL写的8B10B编码解码器的实现,在Xilinx平台通过验证。-Written in VHDL coding 8B10B decoder realize, in the Xilinx platform validated.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:70656
    • 提供者:张开文
  1. 8b10b_encdec

    0下载:
  2. 8b10b转换编码、解码verilog源代码-8b10b transcoding, decoding verilog source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:70656
    • 提供者:wx
  1. 8B-10B

    0下载:
  2. 一种新的8B-10B编解码硬件设计方法,希望对您的工作有所帮助。-A new codec 8B-10B hardware design, and I hope to be helpful to your work.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:94208
    • 提供者:王坤
  1. uart

    0下载:
  2. 本程序的功能是实现串口通信,采用232传输协议,编码方式为8B/10B转换,即一位起始位,8位数据位,一位停止位,在actel Fusion系列开发板上得到验证,具有很强的通用性。本程序的编程语言为Verilog.-This procedure is to achieve the functions of serial communication, the transfer protocol is 232.The encoding pr
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-14
    • 文件大小:2048
    • 提供者:何斌
  1. 8b10b_encdec

    1下载:
  2. 8b/10b encoder/decoder vhdl source-8b/10b encoder/decoder vhdl source
  3. 所属分类:软件工程

    • 发布日期:2024-06-14
    • 文件大小:141312
    • 提供者:ZES
  1. 8b10b

    0下载:
  2. 8b10b转换编码的verilog描述,非常好-8b/10b trans
  3. 所属分类:其他小程序

    • 发布日期:2024-06-14
    • 文件大小:8192
    • 提供者:吴增海
  1. 16b20b_Decoder

    0下载:
  2. VHDL实现的16B/20B解码器。包含两个8B/10B解码器。采用级联方式实现-VHDL implementation 16B/20B decoder. Contains two 8B/10B decoder. Be achieved by cascading
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-06-14
    • 文件大小:31744
    • 提供者:Kevin
  1. 16b20b_Encoder

    0下载:
  2. VHDL实现的16B/20B编码器。由两个8B/10B编码器组成。级联实现。-VHDL implementation 16B/20B encoder. Composed by two 8B/10B encoder. Cascade realization.
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-06-14
    • 文件大小:78848
    • 提供者:kvein
  1. MEdia_control_i2c

    0下载:
  2. 将来自MAC的GMII8B码进行8B/10B编码。FPGA输出10路10B码的数据,如有必要,可配置外挂SDRAM,FPGA还得实现SDRAM控制器,-Will come from the MAC' s GMII8B codes 8B/10B encoding. FPGA output 10 Road 10B code data, if necessary, can be configured to plug SDRAM, FPG
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:35840
    • 提供者:刘强为
  1. ENCODE_8B_10B

    0下载:
  2. 8B-10B编码,Verilog代码,通过编译,仿真,代码规范,清晰-8B-10B code, Verilog code, through the compilation, simulation, code specifications, clear
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:1024
    • 提供者:学习
  1. 8b10b_encdec_latest.tar

    0下载:
  2. 8b-10b used in high speed communication-8b-10b used in high speed communication
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:136192
    • 提供者:neegudda
  1. tlk1221jiaoyan_k

    1下载:
  2. 采用8B/10B编码方式,以不同的模式插入K28.5码进行数据校验,验证tlk1221芯片的数据传输是否正确,观察收发数据是否一致。-To check the data which is transceived by the way of 8B/10B coder/decoder by asserting K28.5 code in different mode and to observe that whether these dat
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:2266112
    • 提供者:万里鹏
  1. 8B10B_decode

    0下载:
  2. 介绍8b/10b的编码与解码的详细流程,主要是基于FPGA的实现方法-8b/10b encoding and decoding described the detailed process
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-14
    • 文件大小:76800
    • 提供者:
  1. 8b10b-master

    0下载:
  2. 8B/10Bencode and decoder
  3. 所属分类:VHDL编程

    • 发布日期:2019-06-22
    • 文件大小:6144
    • 提供者:fangpei
« 12 3 »

源码中国 www.ymcn.org