搜索资源列表

  1. mux1-8

    0下载:
  2. 8选1数据选择器行为建模及测试Verilog代码-8 selected one data selector Verilog behavioral modeling and testing code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:袁辉
  1. eight_choose

    0下载:
  2. 8选1数据选择器-8 election 1 data selector
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:129024
    • 提供者:libo
  1. Mux81a

    0下载:
  2. 8选1数据选择器 VHDL文件 数电很有用的-8 to 1 Data Selector VHDL file useful for digital circuits
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:13312
    • 提供者:bill
  1. exp1.5_mux8_1

    0下载:
  2. 用VHDL及verylog语言设计一个8选一数据选择器,可以在Quartus II中仿真-Language Design with VHDL and verylog a 8-to-one data selector, you can simulate in the Quartus II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:281600
    • 提供者:davidye
  1. mux8_1

    0下载:
  2. VHDL实验,8选1数据选择器,功能是通过地址代码从8个输入数据信号中选出一个进行输出。-8 choose 1 data selector, through the address code from eight input data to choose a signal output.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:高丽红

源码中国 www.ymcn.org