搜索资源列表

  1. Quaalu

    0下载:
  2. ALU算术逻辑单元的简单实现,利用VHDL语言编写,可进行加法,减法,以及位的左右移动,只需一个时钟脉冲-ALU arithmetic logic unit to achieve a simple, using VHDL language, can be additive, subtractive, and the place and move around only one clock pulse
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:103954
    • 提供者:Jake
  1. alu

    0下载:
  2. alu算术逻辑运算单元 主要代码 运行环境为QU6.0
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:268752
    • 提供者:鸭毛乱飞
  1. alu

    0下载:
  2. 算术逻辑运算单元,它根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等基本操作运算。利用这几种基本运算可以实现很多种其他运算以及逻辑判断等操作
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:570
    • 提供者:lian
  1. ALU_verilog

    0下载:
  2. 用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2438
    • 提供者:颜心馨
  1. Quaalu

    0下载:
  2. ALU算术逻辑单元的简单实现,利用VHDL语言编写,可进行加法,减法,以及位的左右移动,只需一个时钟脉冲-ALU arithmetic logic unit to achieve a simple, using VHDL language, can be additive, subtractive, and the place and move around only one clock pulse
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:103424
    • 提供者:Jake
  1. alu

    0下载:
  2. alu算术逻辑运算单元 主要代码 运行环境为QU6.0-alu arithmetic logic operation unit operating environment for the main code QU6.0
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:268288
    • 提供者:鸭毛乱飞
  1. alu

    0下载:
  2. 算术逻辑运算单元,它根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等基本操作运算。利用这几种基本运算可以实现很多种其他运算以及逻辑判断等操作-Arithmetic logic operation unit, which under the Importation of the eight kinds of different opcode, respectively, to achieve a corresponding in
  3. 所属分类:书籍源码

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:lian
  1. ALU

    0下载:
  2. ALU可以实现16种操作(包括加减乘除移位运算等)-ALU can be 16 kinds of operations (including addition and subtraction multiplication and division shift operator, etc.)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:838656
    • 提供者:草野彰
  1. ALU_verilog

    0下载:
  2. 用verilog语言编写的4位算术逻辑单元ALU,功能参考74181,包含.v文件以及测试用.vwf文件-Verilog languages with four arithmetic logic unit ALU, functional reference to 74,181, including. V documents and testing. Vwf document
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:2048
    • 提供者:颜心馨
  1. ALU

    0下载:
  2. 此代码能高速实算术逻辑单元的功能,适合risc_CPU的设计。若有不足,请多多包含。-This code can be really high-speed arithmetic logic unit function, suitable for risc_CPU design. If insufficient, please contain.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:张朝阳
  1. VHDLdanpianji

    0下载:
  2. 本文首先对MCS8051单片机的原理进行介绍和分析;接着介绍使用EDA技术,用VHDL语言完成了8051单片机的设计工作;MCS8051单片机的CPU和数模转换器的设计运用了算术逻辑单元ALU算术运算的算法实现和控制单元的状态机;以及数模转换器的∑-△调制方法的实现。通过如上的算法实现,可以看出VHDL语言在算法级的设计上具有很多的优势和特点。使用EDA技术设计的结果既可以用FPGA/CPLD来实施验证,也可以直接做成专用集成电路(AS
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:254976
    • 提供者:li
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:667648
    • 提供者:623902748
  1. ALU8

    0下载:
  2. ALU算术逻辑单元,8位,含源程序以及仿真后的波形图-ALU arithmetic logic unit 8, including source code, as well as post-simulation waveform
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:29696
    • 提供者:赵剑平
  1. project

    0下载:
  2. 利用VHDL实现三个简单的程序:BCD加法器;ALU算术逻辑单元;简单密码锁设计,具有输入密码和数据比较两种功能,由M决定是写入还是开锁。而数据写入是采用列地址与输入数相结合的的方法,存入初始密码;开锁时,密码以输入,再输入的数据逐个与输入的一组数据比较,完全吻合则开锁。-The use of VHDL to accomplish three simple procedures: BCD adder ALU arithmetic lo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:159744
    • 提供者:张晓风
  1. ALU

    0下载:
  2. alu 模块,算术逻辑单元,实现简单的控制模块,有最基本的几条指令-alu instruction
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:henin
  1. alu

    0下载:
  2. VHDL实现的算术逻辑计算单元(ALU),包括modersim测试文件,即仿真结果。-VHDL implementation of the arithmetic logic calculation unit (ALU), including modersim test file, the simulation results.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:388096
    • 提供者:pxjy
  1. ALU

    0下载:
  2. 用vhdl语言编译一个算术逻辑单元alu源代码-vhdl alu code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:384000
    • 提供者:宋子皓
  1. alu

    0下载:
  2. 本程序实现算术逻辑单元(ALU)设计,包括:清零、逻辑乘、逻辑加、异或、算术加、左移、右移等等功能。-Arithmetic logic unit to achieve the program design, including: clear, logical multiplication, logical add, XOR, arithmetic plus, left, right, and so function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:79872
    • 提供者:肖飞
  1. ALU

    0下载:
  2. 哈工大计算机学院2014年夏季学期设计与实践 实验一 ALU算术逻辑单元的实现-ALU design from hit computer science
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:607232
    • 提供者:April
  1. ALU

    0下载:
  2. 算术逻辑单元,可以实现加法、减法、比较、移位、与门、或门等功能(arithmetic and logic unit)
  3. 所属分类:VHDL编程

« 12 »

源码中国 www.ymcn.org