搜索资源列表

  1. alu

    0下载:
  2. alu算术逻辑运算单元 主要代码 运行环境为QU6.0
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:268752
    • 提供者:鸭毛乱飞
  1. alu

    0下载:
  2. 算术逻辑运算单元,它根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等基本操作运算。利用这几种基本运算可以实现很多种其他运算以及逻辑判断等操作
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:570
    • 提供者:lian
  1. suanshuluojidanyuan

    0下载:
  2. 1. 课程设计的任务 本次课程设计的任务是实现一个算术逻辑运算单元,使之能够完成不带进位位算术、逻辑八位二进制数的运算。由具有扩展能力强,结构简单清晰,连线方便快捷的总线结构作为系统结构。系统测试采用在系统的每个总线上设置测试孔。采用闪存存储数据,系统可以通过监测模块来修改和控制微程序的运行。 采用若干种类的芯片组作为运算器和数据输入输出缓冲、输入锁存器,其中2片74LS181构成8位字长的ALU单元是算术逻辑运算单元核心。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:795228
    • 提供者:xwy1985s
  1. verilog实现ALU的源代码

    0下载:
  2. verilog实现ALU的源代码,并提供了一个详细的测试平台!-achieve ALU Verilog source code, and provide a detailed test platform!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:飞扬
  1. alu

    0下载:
  2. alu算术逻辑运算单元 主要代码 运行环境为QU6.0-alu arithmetic logic operation unit operating environment for the main code QU6.0
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:268288
    • 提供者:鸭毛乱飞
  1. alu

    0下载:
  2. 算术逻辑运算单元,它根据输入的8种不同操作码分别实现相应的加、与、异或、跳转等基本操作运算。利用这几种基本运算可以实现很多种其他运算以及逻辑判断等操作-Arithmetic logic operation unit, which under the Importation of the eight kinds of different opcode, respectively, to achieve a corresponding in
  3. 所属分类:书籍源码

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:lian
  1. alu

    0下载:
  2. 4位ALU逻辑运算单元,可进行加法、减法、逻辑运算、移位等操作。-4 ALU logical operation unit, can be additive, subtraction, logic operations, shift and other operations.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:甲天下
  1. alu

    0下载:
  2. 4bit ALU(运算逻辑单元)的设计 给出了此次设计alu的输入输出结构及相应的位数。其中C0是一位的进位输入,A和B分别是4位的数据输入,S0、S1、M分别为一位的功能选择输入信号;Cout是一位的进位输出,F是4为的运算结果输出。-4bit ALU (arithmetic logic unit) design is given in the design of alu input and output structure an
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:chenyi
  1. ALU

    0下载:
  2. ALU可以实现16种操作(包括加减乘除移位运算等)-ALU can be 16 kinds of operations (including addition and subtraction multiplication and division shift operator, etc.)
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:838656
    • 提供者:草野彰
  1. ALU

    0下载:
  2. 此代码能高速实算术逻辑单元的功能,适合risc_CPU的设计。若有不足,请多多包含。-This code can be really high-speed arithmetic logic unit function, suitable for risc_CPU design. If insufficient, please contain.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:张朝阳
  1. alu

    0下载:
  2. 算术运算单元ALU的设计,才用VHDL语言编写,有仿真波形-vhdl alu
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:94208
    • 提供者:wer
  1. alu

    0下载:
  2. 设计带进位算术逻辑运算单元,根据74LS181功能表,用Verilog HDL硬件描述语言编程实现ALU181的算术逻辑运算功能,编辑实验原理图,在算术逻辑单元原理图上,将其扩展为带进位的算术逻辑运算单元,对其进行编译,并设计波形对其进行仿真验证,最后下载验证-Design into the digital arithmetic logic operation unit, in accordance with menu 74LS181
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:667648
    • 提供者:623902748
  1. ALU

    0下载:
  2. VHDL实现cpu核心逻辑与运算单元模块的实现,完成4bit*4bit输入8bit输出的运算,可做加减乘除逻辑移位6种操作-the implementation of Arithmetic and logic unit based on VHDL, can do as the adder,subtractor,multiplier,divider,shifter and logic operation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:619520
    • 提供者:caolei
  1. alu

    0下载:
  2. 用Verilog编写的简单的运算单元(ALU),可实现加、减、与、或、异或、非、左、右移等功能-Verilog prepared with simple arithmetic unit (ALU), can be add, subtract, and, or, exclusive-OR, non-, left, and other functions shifted to right
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:191488
    • 提供者:wangzhen
  1. ALU

    0下载:
  2. 算数逻辑单元,实现算数加、减,加1、减1运算和逻辑与、或、非和传递-Arithmetic logic unit, to achieve arithmetic add, subtract, plus one, minus one operation and logical AND, OR, and transmission of non-
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:303104
    • 提供者:龙一
  1. alu

    0下载:
  2. VHDL实现的算术逻辑计算单元(ALU),包括modersim测试文件,即仿真结果。-VHDL implementation of the arithmetic logic calculation unit (ALU), including modersim test file, the simulation results.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:388096
    • 提供者:pxjy
  1. ALU

    0下载:
  2. 用硬件描述语言写的alu单元功能实现.主要实现了加法和乘法功能-Hardware descr iption language used to write alu units feature implementation. Main achievement of the addition and multiplication functions
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:13312
    • 提供者:lbi
  1. alu

    0下载:
  2. verilog 编写的 可综合的ALU单元 可执行加减与或非 5种运算-verilog prepared by the ALU unit can be integrated with non-executable plus or minus five kinds of computing
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:362496
    • 提供者:peyo
  1. ALU-Of-64-bit-DSP

    0下载:
  2. 一篇关于64位DSP处理器的ALU单元设计,包括系统方案和各个子模块的设计。-Research and Desi gn of   a Reconfi gurable ALU for   64 bit Di g ital   Si gnal   Processor
  3. 所属分类:软件工程

    • 发布日期:2024-05-19
    • 文件大小:224256
    • 提供者:youling
  1. ALU

    0下载:
  2. 算术逻辑单元,可以实现加法、减法、比较、移位、与门、或门等功能(arithmetic and logic unit)
  3. 所属分类:VHDL编程

« 12 3 4 »

源码中国 www.ymcn.org