搜索资源列表

  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2008-10-13
    • 文件大小:30560
    • 提供者:yanyuntao
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:24101
    • 提供者:贝凯
  1. testctl

    0下载:
  2. 本程序实现了一个数字频率计。它由一个测频控制信号发生器TESTCTL,8个有时钟的十进制计数器CNT10,一个32位锁存器REG32B组成。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1130
    • 提供者:liushenshen
  1. cnt10

    0下载:
  2. led 跑马灯,8个led灯 采用cnt10位计数器计数跑马
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:165636
    • 提供者:yyqdian
  1. cnt10

    0下载:
  2. 10进制计数器,VHDL描述的,实验必备
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:45923
    • 提供者:li
  1. cnt10

    0下载:
  2. 用VHDL语言编的带有异步清零功能的十进制计数器-using VHDL addendum to the asynchronous reset function with the decimal counter
  3. 所属分类:编辑器/阅读器

    • 发布日期:2024-05-14
    • 文件大小:30720
    • 提供者:yanyuntao
  1. cnt10

    0下载:
  2. 基于vhdl的10进制计数器模块,实现0-9计数-VHDL-based 10-band counter module, to achieve 0-9 count
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:23552
    • 提供者:贝凯
  1. testctl

    0下载:
  2. 本程序实现了一个数字频率计。它由一个测频控制信号发生器TESTCTL,8个有时钟的十进制计数器CNT10,一个32位锁存器REG32B组成。-This procedure implements a digital frequency meter. It consists of a frequency control signal generator TESTCTL, 8 which have the metric system cloc
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:liushenshen
  1. cnt10

    0下载:
  2. led 跑马灯,8个led灯 采用cnt10位计数器计数跑马-Marquee led, led lamp using 8-bit counter counts cnt10 Happy Valley
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-14
    • 文件大小:164864
    • 提供者:yyqdian
  1. cnt10

    0下载:
  2. 10进制计数器,VHDL描述的,实验必备-10 hexadecimal counters, VHDL descr iption of the experiment must
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:46080
    • 提供者:li
  1. pinluji

    0下载:
  2. 四位十进制频率计设计 包含测频控制器(TESTCTL),4位锁存器(REG4B),十进制计数器(CNT10)的原程序(vhd),波形文件(wmf ),包装后的元件(bsf)。顶层原理图文件(Block1.bdf)和波形。 -Four decimal frequency meter measuring frequency controller design includes (TESTCTL), 4 bit latch (REG4
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:11264
    • 提供者:深空
  1. cnt10

    0下载:
  2. 含异步清0和同步时钟使能的加法计数器,可以通过时钟源的选择,实现不同速度的输出。-With asynchronous and synchronous clock-ching 0 enabled adder counter, clock source can be the choice of different speeds to achieve the output.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-14
    • 文件大小:131072
    • 提供者:weigong
  1. CNT10

    0下载:
  2. 这是十进制计数器的源程序,有需要的同学可以参照一下!-This is the decimal counter source, needy students can refer to you!
  3. 所属分类:Windows编程

    • 发布日期:2024-05-14
    • 文件大小:35840
    • 提供者:逗号
  1. pinlvji

    0下载:
  2. 用4位十进制计数器对用户输入时钟信号进行计数,计数间隔为1秒钟。计数满1秒钟后将计数值(即频率值)所存到4位寄存器中显示,并将计数器清0,在进行下一次计数。 频率计由三种模块组成:testctl为控制模块,由1Hz其准产生rst_cnt,load,cnt_en信号;cnt10为带清0及计数允许的十进制计数器;reg4b为四位寄存器。 -With four decimal counter input clock signal t
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:10841088
    • 提供者:袁玉佳
  1. cnt10

    0下载:
  2. vhdl 十进制加法计数器设计 已经调试成功-decimal adder vhdl counter the success of design debugging
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:27648
    • 提供者:程诗宇
  1. CNT10-START

    0下载:
  2. 十进制计数器的设计的源代码 verilog语言 -conter10
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1108992
    • 提供者:于慧敏
  1. cnt10

    0下载:
  2. 一个用VHDL语言编写的十进制计数器,后续还有分频器、数据选择器、七段数码显示程序等软件平台是Quartus II 7.2 ,最后通过这些小的模块可以组合起来制作出一个时钟或者其它的任意进制计数器,适合初学者,通过这些程序,刚接触VHDL的学习者可以一步步的去认识和了解VHDL,最后通过设计一个具有实用功能的电路,来增加学习者的成就感和学习兴趣。所有程序软硬件调试都成功通过,硬件平台是自己学校设计的一块开发板,要了解的可以联系本人。联系
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:242688
    • 提供者:QQ
  1. cnt10

    0下载:
  2. 这个是eda写10位计数器的示范程序,在试验箱上运行成功-This is eda wrote 10 counter demonstration program, run successfully in the test chamber
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:139264
    • 提供者:吴恒
  1. CNT10

    0下载:
  2. 十进制计数器,实现异步复位,同步清零功能, 方法简单易行,通过时序验证.-Decimal counter, asynchronous reset, synchronous clear function, simple and easy, by timing verification.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:201728
    • 提供者:寒星
  1. cnt10

    0下载:
  2. 用Quartus II开发的一个十进制计数器,包括仿真波形,下载文件,是完整工程。-With the Quartus II development of a decimal counter, including the simulation waveform, download files, is the complete project.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:148480
    • 提供者:鲁才
« 12 »

源码中国 www.ymcn.org