搜索资源列表

  1. FPGACPLDLIB

    0下载:
  2. protel中CPLD器件的库可以方便的放进protel中-CPLDs the convenience of the protel into China
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:319074
    • 提供者:zhouding
  1. Product_Guide_Altera_RevC

    1下载:
  2. Power Management Solutions for Altera’s FPGAs and CPLDs,希望对大家有帮助!
  3. 所属分类:软件工程

    • 发布日期:2008-10-13
    • 文件大小:95358
    • 提供者:wangweimin76
  1. FPGACPLDLIB

    0下载:
  2. protel中CPLD器件的库可以方便的放进protel中-CPLDs the convenience of the protel into China
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:318464
    • 提供者:zhouding
  1. Product_Guide_Altera_RevC

    0下载:
  2. Power Management Solutions for Altera’s FPGAs and CPLDs,希望对大家有帮助!
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:95232
    • 提供者:wangweimin76
  1. manchester_verilog

    0下载:
  2. Manchester Encoder - Decoder for Xilinx CPLDs Customer Pack-Manchester Encoder- Decoder for Xilinx CPLDs Customer Pack
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-06-16
    • 文件大小:9216
    • 提供者:xbl
  1. daima

    0下载:
  2. 适用于xilinx的CPLD产品,曼彻斯特编码-Decoder for Xilinx CPLDs Customer Pack
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:10240
    • 提供者:时国美
  1. Pulse_Width_Modulator_Altera_MAX_II_CPLD_Design_E

    0下载:
  2. Example VHDL project showing how to use a PWM by CPLD
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:290816
    • 提供者:maros
  1. Flash_FPAG_JTAG

    0下载:
  2. FPGA或者CPLD通过JTAG接口对FLASH进行读写的资料。非常有用-Programming Flash Memory from FPAGs and CPLDs Using the JTAG Port. Very useful
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:305152
    • 提供者:superstar
  1. uc_interface

    0下载:
  2. This file provides an 8051 external data memory bus interface for CoolRunner CPLDs. This file contains the state machine to interface on the 8051 bus as well as the address registers, the address decode logic, a
  3. 所属分类:其他小程序

    • 发布日期:2024-06-16
    • 文件大小:4096
    • 提供者:alex
  1. DigitalCircuitAnalysisCPLDsFPGAsmatlabVHDL

    0下载:
  2. This book where you can find a lot about vhdl verilog and kinds of FPGAs and CPLDs producers -This is book where you can find a lot about vhdl verilog and kinds of FPGAs and CPLDs producers
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:12721152
    • 提供者:dacuellom
  1. hp3070prog

    0下载:
  2. 编程XC9500 惠普CPLD 3070 测试仪 经典教程-Programming Xilinx XC9500 CPLDs on HP 3070 Testers
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:60416
    • 提供者:sam
  1. cpld_applications_handbook_I

    0下载:
  2. Xilinx handbook for CPLD applications, featuring CoolRunner-II and XC9500XL CPLDs - Part I
  3. 所属分类:行业发展研究

    • 发布日期:2024-06-16
    • 文件大小:865280
    • 提供者:sray
  1. FPGA_Design_tip

    0下载:
  2. FPGA设计技巧,锁存器与寄存器区别,状态机设计,门控时钟等-Improving Performance in Complex Programmable Logic Devices (CPLDs) with the FPGA Express Software
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:37888
    • 提供者:lurker
  1. pBlazIDE36

    0下载:
  2. There are literally dozens of 8-bit microcontroller architectures and instruction sets.Modern FPGAs can efficiently implement practically any 8-bit microcontroller, and available FPGA soft cores support popul
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:591872
    • 提供者:biodun
  1. Intro_to_VHDL

    0下载:
  2. Notes on VHDL (VHSIC Hardware Definition Language) A popular language for designing digital chips including FPGAs and CPLDs Notes on PERL, a popular scr ipting language-Notes on VHDL (VHSIC Hardware Definition La
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:164864
    • 提供者:johnp
  1. VHDL_Style_Guide

    0下载:
  2. A style guide for VHDL, the popular hardware descr iptive language for the design/specification of ASICs, FPGAs and CPLDs ICs.-A style guide for VHDL, the popular hardware descr iptive language for the design/specificati
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:54272
    • 提供者:johnp
  1. xapp394

    0下载:
  2. this good sample for cplds.-this is good sample for cplds.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:1634304
    • 提供者:mora
  1. EPM240ZT100

    0下载:
  2. The MAX II CPLD has the following features: ■ Low-cost, low-power CPLD ■ Instant-on, non-volatile architecture ■ Standby current as low as 29 μA ■ Provides fast propagation delay and clock-to-output times ■ Pro
  3. 所属分类:其他小程序

    • 发布日期:2024-06-16
    • 文件大小:612352
    • 提供者:王广龙
  1. 10.1.1.10.237

    0下载:
  2. Architecture of FPGAs and CPLDs
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:202752
    • 提供者:Lee Yinghui
  1. Digital-Systems-Design-with-FPGAs-and-CPLDs

    0下载:
  2. Digital Systems Design with FPGAs and CPLDs thanhmaikmt dao thanh mai
  3. 所属分类:软件工程

    • 发布日期:2024-06-16
    • 文件大小:5403648
    • 提供者:DAO THANH MAI
« 12 »

源码中国 www.ymcn.org