搜索资源列表

  1. PSK.VHDL

    0下载:
  2. CPSK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行调制-CPSK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal modulation
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:73128
    • 提供者:少龙
  1. CPSK

    0下载:
  2. CPSK调治程序 -CPSK modulating procedures CPSK modulating procedures CPSK modulating procedures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:5535
    • 提供者:万金油
  1. CVSO

    0下载:
  2. CPSK信号与OOK信号的最佳接收机模拟,画出了各自的性能分析,由于是matlab的初学者,很希望能到这学习交流,希望大家多多指教!-OOK CPSK signal and the best receiver signal simulation, which depicts the respective performance analysis, Since Matlab beginners, I hope to be able to
  3. 所属分类:Modem编程

    • 发布日期:2008-10-13
    • 文件大小:3303
    • 提供者:wulijun
  1. sin_out

    0下载:
  2. 用vhal在qurtusII 7.2 实现的cpsk.dpsk.fsk.ask 四种方式可控制调制方式,用正弦波为载波,伪随机,作为测试信号
  3. 所属分类:通讯/手机编程

    • 发布日期:2008-10-13
    • 文件大小:6017420
    • 提供者:wangjin
  1. PSK.VHDL

    0下载:
  2. CPSK调制VHDL程序及仿真 基于VHDL硬件描述语言,对基带信号进行调制-CPSK modulation VHDL simulation based on the procedures and VHDL hardware descr iption language, the baseband signal modulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:72704
    • 提供者:少龙
  1. CPSK

    0下载:
  2. CPSK调治程序 -CPSK modulating procedures CPSK modulating procedures CPSK modulating procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:5120
    • 提供者:万金油
  1. CVSO

    0下载:
  2. CPSK信号与OOK信号的最佳接收机模拟,画出了各自的性能分析,由于是matlab的初学者,很希望能到这学习交流,希望大家多多指教!-OOK CPSK signal and the best receiver signal simulation, which depicts the respective performance analysis, Since Matlab beginners, I hope to be able to
  3. 所属分类:Modem编程

    • 发布日期:2024-06-18
    • 文件大小:3072
    • 提供者:wulijun
  1. sin_out

    0下载:
  2. 用vhal在qurtusII 7.2 实现的cpsk.dpsk.fsk.ask 四种方式可控制调制方式,用正弦波为载波,伪随机,作为测试信号-QurtusII 7.2 with vhal achieved in four ways cpsk.dpsk.fsk.ask modulation can be controlled with a sine wave for the carrier, pseudo-random, as a tes
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-18
    • 文件大小:6017024
    • 提供者:wangjin
  1. PSK_VHDL

    0下载:
  2. CPSK调制VHDL程序 --文件名:PL_CPSK --功能:基于VHDL硬件描述语言,对基带信号进行调制 -VHDL procedures CPSK modulation- the file name: PL_CPSK- features: VHDL hardware descr iption language based on the base-band signal modulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:72704
    • 提供者:huangsong
  1. cpsk_dpsk

    0下载:
  2. 数字通信系统相移键控CPSK信号和差分相移键控的调制与解调的VHDL代码-Phase shift keying digital communication system CPSK signals and differential phase-shift keying modulation and demodulation of the VHDL code for
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:lihao
  1. cpsk

    0下载:
  2. 用VHDL硬件语言对BPSK调制解调系统进行编写,仿真通过,源代码-VHDL hardware language using BPSK modulation and demodulation system, the preparation, simulation adopted, the source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:68608
    • 提供者:王岩嵩
  1. CPSK

    0下载:
  2. vhdl file fpga pins hehe download it right now haha as soon as possible may be useful for u ~-vhdl file fpga pins hehe download it right now haha as soon as possible may be useful for u ~
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:72704
    • 提供者:peter
  1. cpsk-vhdl

    0下载:
  2. 基于VHDL硬件描述语言,对CPSK调制的信号进行解调-VHDL hardware descr iption language based on CPSK modulated signal demodulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:25600
    • 提供者:张拓
  1. CPSK

    0下载:
  2. CPSK的源程序基于FPAG的数字调制器,毕设做的,不知道对你们有用没-CPSK source FPAG based digital modulator, complete set do not know is not useful to you
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1080320
    • 提供者:王雷
  1. ZZ

    0下载:
  2. 基于VHDL硬件描述语言,对CPSK调制的信号进行解调-cpsk feichanghaoyong nizijimanmankan
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:王立志
  1. CPSK_modulation_code

    0下载:
  2. CPSK调制VHDL程序,测试正确,已使用-CPSK modulation VHDL procedures, the test is correct, has been used
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-18
    • 文件大小:1024
    • 提供者:于工

源码中国 www.ymcn.org