搜索资源列表

  1. videodigitalsignalscontroller

    0下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:8782
    • 提供者:yan
  1. videodigitalsignalscontroller

    1下载:
  2. 用fpga技术实现基本的视频信号处理:主题程序;视频图象数据采集程序;sram的读写控制;测试程序-they simply use the basic technology of video signal processing : theme; Video data acquisition procedures; SRAM literacy control; test procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:8192
    • 提供者:yan
  1. fpga_sram

    0下载:
  2. Altera cyclone ep1c6对sram idt71系列的读写时序控制-Altera cyclone ep1c6 of sram idt71 series of read and write timing control
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:389120
    • 提供者:wmy
  1. yuyincaiji

    0下载:
  2. 语音采集与回放系统源代码:1.为了使读音数据存储的时间更长,速度更快,选用了256K*16Bit的SRAM;2.为了减少单片机的控制复杂度,使用了FPGA来控制SRAM的读写操作,节约了不少单片机的I/O资源;3.为了以后的高速数据存储,本设计中加入了fifo,其位宽及深度可在程序中自由设置,方便灵活。-Speech acquisition and playback system source code: 1. In order to
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:804864
    • 提供者:song
  1. 63535309sram

    0下载:
  2. verilog编写的读写SRAM的源码,包括sram的读写控制-SRAM read and write verilog source code written in, including the sram to read and write control
  3. 所属分类:其他小程序

    • 发布日期:2024-06-15
    • 文件大小:1024
    • 提供者:haha
  1. zbt_test

    0下载:
  2. zbt sram测试VHDL程序,实现了FPGA与ZBT SRAM之间的接口控制,在FPGA内能实现对ZBT SRAM读写-vhdl program for ZBT SRAM test
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:16384
    • 提供者:lailai
  1. SRAM-FPGA

    0下载:
  2. 用FPGA实现SRAM读写控制的Verilog代码-SRAM FPGA implementation using Verilog code to read and write control
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:13312
    • 提供者:austin
  1. sram

    0下载:
  2. 用FPGA 控制sram读写程序的小程序,-fpga control precedure
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:16384
    • 提供者:lujian
  1. verilogsram

    0下载:
  2. 用FPGA实现SRAM读写控制的Verilog代码-SRAM FPGA implementation using Verilog code to read and write control
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:315392
    • 提供者:Tom
  1. Verilog-SRAM

    0下载:
  2. 用verilog hdl语言编写的fpga与片外sram 的读写控制-With the verilog hdl language fpga sram chip with read and write control
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:57344
    • 提供者:yishuihan
  1. sram_duxie

    0下载:
  2. 用FPGA控制的SRAM读写程序,要写的数据是由FPGA内部寄存器产生-Control with FPGA SRAM read and write procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-15
    • 文件大小:1024
    • 提供者:xiao
  1. ram

    0下载:
  2. 此文档为fpga控制双口RAM的开发文档,讲解很细,易于上手.双口RAM是在1个SRAM存储器上具有两套完全独立的数据线、地址线和读写控制线,并允许两个独立的系统同时对其进行随机性访问的存储器,即共享式多端口存储器。-This document is controlled dual-port RAM fpga development documents, explain very small, easy to use. Dual-por
  3. 所属分类:编程文档

    • 发布日期:2024-06-15
    • 文件大小:210944
    • 提供者:uodsi
  1. write_read

    0下载:
  2. FPGA对外部SRAM的读写控制,FPGA芯片为EP4CE15F17C8,SRAM芯片型号为IS61LV25616AL-FPGA SRAM read and write to external control, FPGA chip EP4CE15F17C8, SRAM chip model IS61LV25616AL
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-15
    • 文件大小:6724608
    • 提供者:夏勇
  1. FPGA控制SRAM的读写

    0下载:
  2. FPGA控制SRAM的读写,通过测试!!!!!!!!!!!!!!!!(FPGA controls the reading and writing of SRAM)
  3. 所属分类:其他小程序

    • 发布日期:2024-06-15
    • 文件大小:77824
    • 提供者:dafeige

源码中国 www.ymcn.org