搜索资源列表

  1. COUNT_100

    0下载:
  2. 使用Vhdl语言编写的FPGA应用程序,实现的内容是100进制计数器-use Vhdl language FPGA applications, realizing the contents of the 100 NUMBER
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:185344
    • 提供者:丢丢熊
  1. codeofvhdl2006

    1下载:
  2. 【经典设计】VHDL源代码下载~~ 其中经典的设计有:【自动售货机】、【电子钟】、【红绿灯交通信号系统】、【步进电机定位控制系统】、【直流电机速度控制系统】、【计算器】、【点阵列LED显示控制系统】 基本数字逻辑设计有:【锁存器】、【多路选择器】、【三态门】、【双向输入|输出端口】、【内部(缓冲)信号】、【编码转换】、【加法器】、【编码器/译码器】、【4位乘法器】、【只读存储器】、【RSFF触发器】、【DFF触发器】、【JKFF
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:44032
    • 提供者:senkong
  1. jishu

    0下载:
  2. FPGA里面的计数器相关资料及程序汇总大全-Counter inside the FPGA and procedures related to information aggregation Guinness
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:89088
    • 提供者:11
  1. div

    0下载:
  2. 分频器是FPGA设计中使用频率非常高的基本单元之一。尽管目前在大部分设计中还广泛使用集成锁相环(如altera的PLL,Xilinx的DLL)来进行时钟的分频、倍频以及相移设计,但是,对于时钟要求不太严格的设计,通过自主设计进行时钟分频的实现方法仍然非常流行。首先这种方法可以节省锁相环资源,再者,这种方式只消耗不多的逻辑单元就可以达到对时钟操作的目的。 偶数倍分频:偶数倍分频应该是大家都比较熟悉的分频,通过计数器计数是完全可以实
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:王子
  1. counter

    0下载:
  2. 计数器的VHDL设计,已经在FPGA上验证-VHDL counter design, has been tested in the FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1024
    • 提供者:chen
  1. CPU

    0下载:
  2. 使用verilog作为CPU设计语言实现单数据通路五级流水线的CPU。具有32个通用寄存器、一个程序计数器PC、一个标志寄存器FLAG,一个堆栈寄存器STACK。存储器寻址粒度为字节。数据存储以32位字对准。采用32位定长指令格式,采用Load/Store结构,ALU指令采用三地址格式。支持有符号和无符号整数加、减、乘、除运算,并支持浮点数加、减、乘、除四种运算,支持与、或、异或、非4种逻辑运算,支持逻辑左移、逻辑右移、算术右移、循环右
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:43008
    • 提供者:haotianr
  1. sine

    0下载:
  2. chdl 64位计数器,利用mif格式文件产生正弦波。可以在fpga模拟正弦波-chdl 64 bit counter, using sine wave generated mif format. Sine wave can be simulated in FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:268288
    • 提供者:yyqdian
  1. Des2Sim

    0下载:
  2. 本文介绍了一个使用 VHDL 描述计数器的设计、综合、仿真的全过程,作为我这一段 时间自学 FPGA/CPLD 的总结,如果有什么不正确的地方,敬请各位不幸看到这篇文章的 大侠们指正,在此表示感谢。当然,这是一个非常简单的时序逻辑电路实例,主要是详细 描述了一些软件的使用方法。文章中涉及的软件有Synplicity 公司出品的Synplify Pro 7.7.1; Altera 公司出品的 Quartus II 4.2;
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:1945600
    • 提供者:黄鹏曾
  1. VHDL

    0下载:
  2. PWM控制就是产生一定周期,占空比不同的方波信号,当占空比较大时,电机转速较高,否则电机转速较低。当采用FPGA产生PWM波形时,只需FPGA内部资源就可以实现,数字比较器的一端接设定值输出,另一端接线性递增计数器输出。当线性计数器的计数值小于设定值时输出低电平,当计数器大于设定值时输出高电平,这样就可通过改变设定值,产生占空比不同的方波信号,从而达到控制直流电机转速的目的。 直流电机控制电路主要由2部分组成,如图1所示: &#
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:37888
    • 提供者:袁玉佳
  1. cd4000x

    0下载:
  2. CD4000 双3输入端或非门+单非门 TI   CD4001 四2输入端或非门 HIT/NSC/TI/GOL    双4输入端或非门 NSC   CD4006 18位串入/串出移位寄存器 NSC   CD4007 双互补对加反相器 NSC   CD4008 4位超前进位全加器 NSC   CD4009 六反相缓冲/变换器 NSC   CD4010 六同相缓冲/变换器 NSC   CD4011 四2输入端
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:2422784
    • 提供者:徐科峰
  1. db0358fc-1f16-4f07-9f0f-defb77998bb1

    0下载:
  2. fpga实现简单的计数器功能,用vhdl写的,有一个LED-fpga simple counter function
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:580608
    • 提供者:zx
  1. stx_cookbook

    1下载:
  2. Altera公司高端FPGA高级综合指导手册,包括:算术运算单元,浮点处理技巧,数据编码格式转换,视频处理,仲裁逻辑,多路选择,存储逻辑,计数器,通信逻辑,循环冗余校验,随机和伪随机函数,加密和同步等编码风格和技巧;-advanced synthesis cookbook for Altera high-end FPGA(Stratix),incuding coding style and design tricks for
  3. 所属分类:软件工程

    • 发布日期:2024-06-08
    • 文件大小:962560
    • 提供者:刘易
  1. PPT

    0下载:
  2. 大学EDA课程的课件以及课后部分习题的程序。包括最基本的加法器、计数器、LED显示以及部分高级VHDL程序。-University of EDA software programs, as well as some after-school exercise procedures. Including the most basic adder, counter, LED display, as well as some high-lev
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:8547328
    • 提供者:寂静的璀璨
  1. tutorial

    0下载:
  2. 计数器 平台:Xilinx ise 10.1 说明:和ise10.1快速帮助手册配套的源码,适用于初学者。-counter platform: Xilinx ise 10.1 comment: supplement to ise quick start tutorial 10.1, suitable for freshman to fpga and ise software.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:310272
    • 提供者:kn
  1. Chapter6-9

    0下载:
  2. 第六章到第九章的代码 本书通过100多个模块实例,详细地讲解了Verilog HDL程序设计语言,全书共分13章,内容涉及VerilogHDL语言基本概念、建模、同步设计、异步设计、功能验证等,实例包括各种加法器/计数器、乘法器/除法器、编码器/译码器、状态机、SPIMaster Controller、I2C Master controller、CAN ProtocolController、Memory模块、JPEG图像压缩模
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:6281216
    • 提供者:xiao
  1. fpganaoz

    0下载:
  2. 基于FPGA闹钟系统的设计。 1.秒模块实际上是一个计数器,一秒记录一次并输出。 2.分,时模块在一个脉冲上升沿计数一次的基础上,加入了时间调整控制。 3.调整时间的控制模块,在使能信号有效时,才可实现时分的调整。 4.闹钟调整及控制模块,可实现闹钟设时的调节功能。 5.显示模块,实现时间与闹钟显示的切换。 6.闹铃模块,实现闹铃的发声装置。 7.总逻辑模块,实现电子闹钟相应功能的总系统。 -FPGA-b
  3. 所属分类:其他小程序

    • 发布日期:2024-06-08
    • 文件大小:197632
    • 提供者:maominchao
  1. AVR-FPGA

    0下载:
  2. 电子计数式简易多功能计数器的原理、设计、应用及误差特性。本计数器以ATmega128单片机为控制核心,由FPGA模块、键盘输入模块、液晶显示模块、温度测量模块等功能模块组成,实现了周期、频率、时间间隔的测量等功能。-Achieve multi-counter, you would like to have more detailed
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:5120
    • 提供者:乐毅学
  1. 至简设计法--篮球倒计时

    0下载:
  2. 篮球倒计时 工程说明 本项目包含2个按键和4位数码管显示,要求共同实现一个篮球24秒的倒计时,并具有暂停和重新计数复位的功能。 案例补充说明 与单片机等实现模式相比,FPGA倒计时系统大大简化,整体性能和可靠性得到提高。在篮球24秒倒计时的模块架构设计方面,只需要一级架构下的BCD译码模块、倒计时模块和数码管显示模块,即可实现24秒倒计时功能。(Basketball countdown Engineering descr iption
  3. 所属分类:其他小程序

  1. 可逆计数器VHDL描述

    0下载:
  2. 在开发板FPGA:Spartan-3E 系列,型号:XC3S500E,封装:FGT320,速度-4,利用Xilinx ISE软件,利用VHDL软件编写可逆计数器,包含实验说明以及代码实现VHDL.doc文件,UCF管脚绑定文件(In the FPGA:Spartan-3E development board series, XC3S500E, package: FGT320, speed -4, using Xilinx ISE sof
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:12288
    • 提供者:lixilin
  1. 模24计数器

    0下载:
  2. 模24计数器的Quartus II文本输入设计及其test bench(Quartus II text input design and test bench of modulo 24 counter)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-08
    • 文件大小:2048
    • 提供者:13570
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org