搜索资源列表

  1. 基于FPGA的调制,实现了QPSK调制

    3下载:
  2. 基于FPGA的调制
  3. 所属分类:其它

  1. modulation

    0下载:
  2. 基于FPGA的调制,实现了QPSK调制,所用芯片为Artera的CycloneIIEp2C5T114C8-FPGA-based modulation, realize the QPSK modulation, the chip used for Artera
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:liqijun
  1. PL_MPSK

    0下载:
  2. 基于VHDL硬件描述语言,对基带信号进行MPSK调制(这里M=4),即QPSK调制-VHDL hardware descr iption language based on the base-band signal MPSK modulation (here M = 4), namely, QPSK modulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:王勇
  1. psk

    0下载:
  2. 利用VHDL语言实现在,altera 公司的cyclone芯片上实现数字信号的2psk调制解调功能-The use of VHDL language to achieve, altera s cyclone chip digital signal modulation and demodulation functions 2psk
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:293888
    • 提供者:叶峰
  1. Xilinx-FPGA-Matlab-Simulate

    0下载:
  2. Xilinx的FPGA 中的matlab simulink建模,内有几种调制方式,比如QPSK等-Xilinx
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-05-14
    • 文件大小:228352
    • 提供者:中山太乙
  1. FPGAQPSK

    0下载:
  2. 文中介绍了QPSK调制解调的原理,并基于FPGA实现了QPSK调制解调电路。MAX+PLUSII环境下的仿真结果表明了该设计的正确性。 -In this paper, the principle of QPSK modulation and demodulation, and a FPGA-based QPSK modulation and demodulation circuit. MAX+ PLUSII environment si
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:40960
    • 提供者:杨杨
  1. QPSK

    2下载:
  2. OFDM系统中的QPSK调制,实用verilog编程,用于FPGA设计-for ofdm system
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:周美红
  1. costas_carrier_recover

    0下载:
  2. 基于硬件定点的完整的costas载波恢复环设计,FPGA设计可以用之参考。包括输入QPSK信号,16倍符号率采样,初始频差2.4KHz,以及低通滤波器的设计等待。最重要的是有本人的注释,易于上手。-Hardware-based fixed-point of complete costas carrier recovery loop design, FPGA reference design can be used. Including
  3. 所属分类:3G开发

    • 发布日期:2024-05-14
    • 文件大小:2048
    • 提供者:luoshuwen
  1. QPSK

    0下载:
  2. QPSK调制器的FPGA实现,提出了一种基于FPGA实现QPSK的方法-QPSK Modulator FPGA, presents a FPGA-based QPSK method of achieving
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:238592
    • 提供者:shyxp
  1. module_dem

    1下载:
  2. 用verilog编写的信号调制解调程序,包括ask,fsk,qpsk的fpga实现-Prepared using verilog signal modulation and demodulation process, including ask, fsk, qpsk of fpga implementation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:6068224
    • 提供者:yu
  1. QPSK

    1下载:
  2. 通过MATLAB对QPSK进行仿真,再在FPGA中实现QPSK的基本功能-By MATLAB simulation of QPSK, and then in the FPGA to implement the basic functions of QPSK
  3. 所属分类:其他小程序

    • 发布日期:2024-05-14
    • 文件大小:4096
    • 提供者:洪依
  1. QPSK

    0下载:
  2. 用FPGA实现QPSK调制的4篇论文,对用FPGA开发QPSK很有帮助!-QPSK modulation with FPGA Implementation of 4 papers on the use of FPGA development QPSK helpful!
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:852992
    • 提供者:FPGA_VHDL
  1. O_QPSK

    0下载:
  2. 用FPGA开发O_QPSK的5篇论文,对开发O_QPSK很有帮助!-Using FPGA development O_QPSK of five papers on the development of O_QPSK helpful!
  3. 所属分类:软件工程

    • 发布日期:2024-05-14
    • 文件大小:1253376
    • 提供者:FPGA_VHDL
  1. qpsk

    0下载:
  2. qpsk调制解调的FPGA实现。QPSK为调制程序,QPSK-two为解调程序。-qpsk modulation and demodulation of the FPGA. QPSK as the modulation process, QPSK-two for the demodulation process.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:张维
  1. QPSK_modulator_demodulator

    0下载:
  2. Wireless_Communication_FPGA设计代码之一:QPSK调制解调的FPGA实现 将相应的源文件复制到本地硬盘上,修改属性为可写,然后在ISE环境中新建工程,然后添加相应的源文件即可。-Wireless_Communication_FPGA one of the design code: QPSK modulation and demodulation of the FPGA to achieve the cor
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-14
    • 文件大小:1024
    • 提供者:松松
  1. FPGA_QPSK

    0下载:
  2. 基于FPGA的QPSK调制解调器的设计,很不错!-FPGA-based QPSK modem design, very good!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1214464
    • 提供者:张大龙
  1. Xilinx-FPGA-Matlab-Simulate

    0下载:
  2. 这是Matlab实现的非常简单的数字信号调制仿真,用于Xilinx FPGA(ASK, BPSK, FSK, OOK, QPSK)-Matlab is very simple simulation of digital signal modulation for Xilinx FPGAs (ASK, BPSK, FSK, OOK, QPSK)
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-05-14
    • 文件大小:229376
    • 提供者:罗生
  1. QPSK

    0下载:
  2. 基于FPGA的QPSK实现调制解调,主程序,测试程序都有-FPGA-based implementation of QPSK modulation and demodulation, the main program, the test program has
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:1442816
    • 提供者:卢梦明
  1. QPSK-by-FPGA

    0下载:
  2. 基于FPGA的QPSK调制与解调的实现,使用VHDL语言编写-Implementation of FPGA modulation and demodulation based on QPSK, using VHDL language
  3. 所属分类:编程文档

    • 发布日期:2024-05-14
    • 文件大小:1362944
    • 提供者:wisdom
  1. QPSK调制解调器的设计及FPGA实现

    0下载:
  2. QPSK FPGA的实现,QPSK调制解调器的设计及FPGA实现(Design and implementation of QPSK modem based on FPGA)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-14
    • 文件大小:6838272
    • 提供者:muweng
« 12 »

源码中国 www.ymcn.org