搜索资源列表

  1. TriStepperDriveFinalUsbRfNo127CharBlow2

    0下载:
  2. control 3 stepper motors simultaneously, with FSM connection for LabVIEW interface and other high level application to call. control 3 stepper motors simultaneously, with FSM connection for LabVIEW interface and other h
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:bai8
  1. jtag fsm

    0下载:
  2. jtag接口的状态机实现,李庆华《通信IC设计》随机代码(State machine implementation of JTAG interface)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:xilingsnow
  1. ahb_fsm

    0下载:
  2. AMBA AHB design code.rar
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:logu.am
  1. spi_MasterSlaver

    0下载:
  2. 实现3种模式SPI主从模块功能设计,数据位宽8bit,最大SPI时钟频率支持112MHz,采用FSM设计实现。经本人亲测可用,使用于Spartan6——45T系列芯片;(To achieve three modes SPI master and slave module function design, data bit width 8bit, the maximum SPI clock frequency support 112MHz
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:唛侬
  1. FSM

    0下载:
  2. material regarding finite state machine
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3335168
    • 提供者:nena
  1. TrafficFSM

    0下载:
  2. runs on tm4c123 CodeComposerStudio finite state machine using C
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-20
    • 文件大小:92160
    • 提供者:Sarea
  1. simple FSM0

    0下载:
  2. simple implemenation of FSM in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:allia
  1. Car_Tester

    0下载:
  2. 检测出入口车辆进出情况,显示停车位空余数量,FSM,带消除干扰处理(Check out the import and export situation of the entrance vehicle, show the number of parking space spare, FSM, with the elimination of interference treatment)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:4456448
    • 提供者:Desmond0225
  1. project_FSM

    0下载:
  2. Finite State Machine in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:61440
    • 提供者:Coffee_Freak
  1. machine

    0下载:
  2. Simple finite state machine on Altera Cyclone II
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:290816
    • 提供者:godup
  1. testSta状态机对应代码

    0下载:
  2. 有限状态机又称有限状态自动机,简称状态机,是表示有限个状态以及在这些状态之间的转移和动作等行为的数学模型。它反映从系统开始到现在时刻的输入变化,转移指示状态变更,并且用必须满足来确使转移发生的条件来描述它;动作是在给定时刻要进行的活动的描述。(Establish basic finite state machine)
  3. 所属分类:Windows编程

  1. dayashankar_nair_verilog_2.1.tar

    0下载:
  2. finitie strate machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:23552
    • 提供者:daya9000
  1. dayashankar_nair_verilog_2.2.tar

    0下载:
  2. finite state machine
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:24576
    • 提供者:daya9000
  1. FPGA_flash设计

    0下载:
  2. 我们的设计是用一个FSM控制器来控制发送什么命令,flash模块判断FSM发送过来的state信号来选择应该执行什么操作,当命令写入或者读出后,会发送一个flag_done命令,这个命令让我们判断上个指令是否完成,如果完成后FAM将发送下一个命令.(Our design uses a FSM controller to control what commands are sent. The flash module judges the
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-20
    • 文件大小:249856
    • 提供者:硅渣渣
  1. FSM for controller

    0下载:
  2. a verilog code for energy harvesting controller
  3. 所属分类:文档资料

  1. LAB

    0下载:
  2. SAM VHDL编码,包括数据选择器,加法器,简易逻辑电路,有限状态机等(FSM SAM ALU and many other different parts)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:6144
    • 提供者:TimeParaodgs
  1. FSM_design using Verilog

    0下载:
  2. FSM verilog (Mealy and moore)
  3. 所属分类:VHDL编程

« 1 2 ... 13 14 15 16 17 18»

源码中国 www.ymcn.org