搜索资源列表

  1. FT245BM

    0下载:
  2. 这是一个在MAX II CPLD利用FT245BM 模块实现USB传输的读写程序,用的是Verilog HDL语言
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:975764
    • 提供者:杨林成
  1. USB_ReferenceDesign

    0下载:
  2. 本程序usb的接口程序,用的工具是ISE,实现usb和pc主机之间的通信,所用的USB芯片是FT245BM.-the procedures usb interface procedure, the ISE tools, pc achieve usb and communications between the mainfr a me, using a USB chip is FT245BM.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:818176
    • 提供者:陈文祥
  1. D2XXAPP.ZIP

    0下载:
  2. FT245BM C++ Builder 範例程式-FT245BM C programming paradigm Builder
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:31744
    • 提供者:黃彥華
  1. ACQ_245BM_VCP

    0下载:
  2. USB-串口管理芯片FT245BM的Win驱动,不容易找到的-USB-serial chip FT245BM management of the Win-driven, it is not easy to find
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:338944
    • 提供者:李馨帆
  1. SRC001_D2XXAPP

    0下载:
  2. FTD2XXST.exe is an application for configuring, serialising and testing communication functionality in FT8U232AM, FT8U245AM, FT232BM, and FT245BM designs. The application maintains data which describes USB devices and fo
  3. 所属分类:通讯编程

    • 发布日期:2024-05-19
    • 文件大小:29696
    • 提供者:李继刚
  1. SRC002_FT2232CTestApp_sources

    0下载:
  2. This an application for using RS232 technique to configuring, serialising and testing communication functionality in FT8U232AM, FT8U245AM, FT232BM, and FT245BM designs. The application maintains data which describes USB
  3. 所属分类:通讯编程

    • 发布日期:2024-05-19
    • 文件大小:73728
    • 提供者:李继刚
  1. CDM2.02.04_WHQL_Certified

    0下载:
  2. USB芯片FT245BM的驱动程序,FTDI公司的-USB chip FT245BM drivers, FTDI
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:665600
    • 提供者:施立立
  1. FT245_R_W

    0下载:
  2. USB芯片FT245BM读写代码,在Quartus II V7.2上测试成功!---Verilog语言.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1644544
    • 提供者:
  1. vc_net_example

    0下载:
  2. ft245bm 范例-Example ft245bm
  3. 所属分类:Windows编程

    • 发布日期:2024-05-19
    • 文件大小:25600
    • 提供者:you168you
  1. FTBMeter

    0下载:
  2. FT245BM芯片的驱动范例 开发平台 VC.NET-FT245BM chip sample-driven development platform VC.NET
  3. 所属分类:.net编程

    • 发布日期:2024-05-19
    • 文件大小:24576
    • 提供者:you168you
  1. jtag_logic

    0下载:
  2. Serial/Parallel converter, interfacing JTAG chain with FTDI FT245BM
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:yuxiaoqin
  1. libftdi-0.10

    0下载:
  2. libftdi - A library (using libusb) to talk to FTDI s FT2232C, FT232BM and FT245BM type chips including the popular bitbang mode.-libftdi- A library (using libusb) to talk to FTDI s FT2232C, FT232BM and FT245BM type c
  3. 所属分类:USB编程

    • 发布日期:2024-05-19
    • 文件大小:224256
    • 提供者:spark
  1. FT245BM

    0下载:
  2. 这是一个在MAX II CPLD利用FT245BM 模块实现USB传输的读写程序,用的是Verilog HDL语言-This is a MAX II CPLD module using USB transmit FT245BM reading and writing process, using Verilog HDL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:975872
    • 提供者:杨林成
  1. shiboqi

    0下载:
  2. 利用FT245BM USB模块做的虚拟示波器,暂时可以达到1M的速率!-FT245BM USB modules make use of a virtual oscilloscope, the time being can achieve a rate of 1M!
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:226304
    • 提供者:杨林成
  1. FIFO_Loopback

    0下载:
  2. FT245U169 FIFO Loopback This program transmits data through the FT245BM FIFO data bus to the MSP430F169 on Port 4. The data is decremented and sent back out of Port 4 to to FIFO on the FT245BM. Use Hyperterminalt
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:4096
    • 提供者:梁武潔
  1. FT245BMUSBFIFO

    0下载:
  2. FT245BM USB FIFO芯片文档。芯片使用时的很全的文档-Document FT245BM USB FIFO chip. Chips use very-wide document
  3. 所属分类:USB编程

    • 发布日期:2024-05-19
    • 文件大小:371712
    • 提供者:cuiwenhua
  1. USByoPC

    0下载:
  2. PIC to PC USB 2.0 interface with FT245BM- The windows-pc (98, Me, 2000, XP, 2003) runs a program (C# application, Microsoft Visual Studio .NET 2003) to communicate with the USB interface, which transfers data to and fro
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:807936
    • 提供者:LU GU
  1. usb_jtag-20080705-1200

    1下载:
  2. Xilinx USB JTAG 下載端程式 -The jtag_logic.vhd in this directory describes the logic for a parallel-serial converter to be connected to a FT245BM USB chip from FTDI Inc
  3. 所属分类:USB编程

    • 发布日期:2024-05-19
    • 文件大小:125952
    • 提供者:simon
  1. VCP_EX

    0下载:
  2. FT245BM的虚拟串口驱动程序,相信对大家有用-FT245BM virtual serial port driver
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:4563968
    • 提供者:aohu
  1. The-FT245BM-IO-turn-USB.doc

    0下载:
  2. FT245BM IO转USB,文章主要讲了如何用FT245BM 实现USB的转换-The FT245BM IO turn USB, the article is mainly about how FT245BM realize USB conversion
  3. 所属分类:编程文档

    • 发布日期:2024-05-19
    • 文件大小:5120
    • 提供者:杨兆飞
« 12 3 »

源码中国 www.ymcn.org