搜索资源列表

  1. HDL

    0下载:
  2. HDL 编码风格与编码指导,介绍了详细的vhdl和verilog hdl语言的编程风格-HDL coding style and coding guidance, presented a detailed VHDL and Verilog HDL language programming style
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:63659
    • 提供者:xwca
  1. 基于Verilog HDL数字密码锁设计源程序

    2下载:
  2. 用Verilog HDL语言编写的数字密码锁源程序
  3. 所属分类:源码下载

    • 发布日期:2010-12-23
    • 文件大小:4452
    • 提供者:tangxuan
  1. Verilog HDL 语言编程 RS(204,188)译码器的设计

    1下载:
  2. Verilog HDL 语言编程 RS(204,188)译码器的设计源码
  3. 所属分类:其它

    • 发布日期:2011-05-02
    • 文件大小:11410
    • 提供者:qinfeng
  1. verilog HDL FFT程序

    1下载:
  2. 采用的verilog HDL语言编写的FFT的程序
  3. 所属分类:嵌入式/单片机编程

  1. verilog例子大全

    0下载:
  2. 包含各种verilong HDL语言的编程源代码,全加器,计数器,选择器,加法器,波形发生器等以及阻塞赋值非阻塞赋值的使用例子,七段数码管显示译码器等等
  3. 所属分类:源码下载

  1. Verilog硬件描述语言教程

    0下载:
  2. Verilog硬件描述语言教程.rar--Verilog hardware descr iption language tutorial.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-16
    • 文件大小:4169728
    • 提供者:胡显辉
  1. source3-6

    0下载:
  2. verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,3-6章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 3-6
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:12288
    • 提供者:余月森
  1. source7-8

    0下载:
  2. verilog hdl教程135例:verilog hdl语言类似于C语言,便于学习。本文档带有源代码,7-8章-Verilog HDL 135 cases Guide : Verilog HDL language similar to the C language, to facilitate learning. This document with the source code, 7- 8
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:8192
    • 提供者:余月森
  1. 数据结构c描述习题集答案

    0下载:
  2. 减1计数器 一、设计要求 用Verilog HDL语言设计一个计数器。 要求计数器具有异步置位/复位功能,可以进行自增和自减计数,其计数周期为2^N(N为二进制位数)。 二、设计原理 输入/输出说明: d:异步置数数据输入; q:当前计数器数据输出; clock:时钟脉冲; count_en:计数器计数使能控制(1:计数/0:停止计数); updown:计数器进行自加/自减运算控制(1:自加/0:自减); load_d-a counte
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:111616
    • 提供者:tutu
  1. Verilog HDL练习题

    0下载:
  2. 硬件描述语言,对学习EDA的人,特别是初学者都有很大的参考价值。-hardware descr iption language, to learn the EDA people, especially beginners have great reference value.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-16
    • 文件大小:78848
    • 提供者:陈盛
  1. taxi1

    0下载:
  2. 出租车计价器,简单、方便,采用verilog hdl语言编写,所用平台是MAXPLUS软件-Taximeter, simple, convenient, using Verilog HDL language, by using the platform of software Segments
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:976896
    • 提供者:zhz
  1. scu_all_fpga

    0下载:
  2. 大型嵌入式设备FPGA程序,verilog HDL语言,实现DLL和PCM码流分流。-large embedded FPGA procedures, Verilog HDL, DLL and achieve PCM stream diversion.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:3072
    • 提供者:chenlei
  1. verilog_vga

    0下载:
  2. 用verilog HDL 语言写的在显示器上显示图案的源程序-with Verilog HDL language written on display in the pattern of the source
  3. 所属分类:其他小程序

    • 发布日期:2024-06-16
    • 文件大小:179200
    • 提供者:yhr
  1. verilog_lcd

    0下载:
  2. 用Verilog HDL 语言写的在LCD液晶上显示文字的源程序-with Verilog HDL write on the LCD display text of the source
  3. 所属分类:其他小程序

    • 发布日期:2024-06-16
    • 文件大小:423936
    • 提供者:yhr
  1. i2c(FPGA)

    1下载:
  2. 基于FPGA的I2C总线模拟,采用verilog HDL语言编写。-FPGA-based I2C bus simulation, using verilog HDL language.
  3. 所属分类:压缩解压

    • 发布日期:2024-06-16
    • 文件大小:212992
    • 提供者:李浩
  1. news5f

    0下载:
  2. Verilog HDL语言编写的5分频电路。采用两路时钟相逻辑作用产生。-Verilog HDL prepared by the five-frequency circuits. Clock using two phase logic role.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:44032
    • 提供者:曹光明
  1. pwm_VerilogHDLV1.1

    0下载:
  2. 本软件在CPLD上实现数字PWM控制,用Verilog HDL语言编写,在MAX PLUS II调试成功,可用-the software on the CPLD digital PWM control, using Verilog HDL language, MAX PLUS II in debugging success can be
  3. 所属分类:中间件编程

    • 发布日期:2024-06-16
    • 文件大小:232448
    • 提供者:wjz
  1. adder_ahead8bit

    0下载:
  2. 本文件提供了用verilog HDL语言实现的8位超前进位加法器,充分说明了超前进位加法器和普通加法器之间的区别.-using verilog HDL achieve the eight-ahead adder, fully demonstrates the CLA for ordinary Adder and the distinction between.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-16
    • 文件大小:10240
    • 提供者:剑指眉梢
  1. 三角函数的Verilog HDL语言实现

    1下载:
  2. 以Actel FPGA作为控制核心,通过自然采样法比较1个三角载波和3个相位差为1 200的正弦波,利用Verilog HDL语言实现死区时间可调的SPWM全数字算法,并在Fushion StartKit开发板上实现SPWM全数字算法。(With Actel FPGA as the control core, between 1 and 3 triangular carrier phase difference of 1200 sine
  3. 所属分类:文档资料

    • 发布日期:2024-06-16
    • 文件大小:148480
    • 提供者:所罗门
  1. lab4

    0下载:
  2. verilog代码例程,主要用于hdl语言初学者对于verilog的学习(verilog example Code routines, it is mainly used for the verilog HDL language beginners to learn)
  3. 所属分类:通讯/手机编程

    • 发布日期:2024-06-16
    • 文件大小:560128
    • 提供者:fjjjj123e4
« 12 3 4 5 6 7 8 9 10 ... 48 »

源码中国 www.ymcn.org