搜索资源列表

  1. I2C_IPcore_VHDL

    1下载:
  2. 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行-I2C serial data communication protocol to VHDL hardware descr iption language of the IP core can be directly translated Operation
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:6356
    • 提供者:陈州徽
  1. I2C_IPcore_VHDL

    0下载:
  2. 这是一个I2C串行数据通信协议以VHDL硬件描述语言实现的IP核,可直接编译运行-I2C serial data communication protocol to VHDL hardware descr iption language of the IP core can be directly translated Operation
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-06
    • 文件大小:6144
    • 提供者:陈州徽
  1. i2c.tar

    0下载:
  2. i2c总线控制器ipcore,包含testbench-i2c bus controller ipcore, contains Testbench
  3. 所属分类:并行运算

    • 发布日期:2024-06-06
    • 文件大小:643072
    • 提供者:吴飞

源码中国 www.ymcn.org