搜索资源列表

  1. 89c51 7段LED 动态显示

    0下载:
  2. 89c51 led动态显示程序及仿真图
  3. 所属分类:嵌入式/单片机编程

  1. 8段数码管显示实验

    0下载:
  2. led数码管显示设计-led numerical code tube demonstrated designs
  3. 所属分类:源码下载

    • 发布日期:2024-05-18
    • 文件大小:14336
    • 提供者:凌峰
  1. c8279

    0下载:
  2. LED显示驱动芯片的驱动程序,用SPI总线-LED display driver chip of the driver, with SPI Bus
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:小铁
  1. LED点阵模块4扫描驱动电路

    0下载:
  2. LED点阵模块4扫描驱动电路-LED dot matrix scanning module four drive circuit
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:花天
  1. 4位LED共阳数码动态显示的C51源程序

    0下载:
  2. 4位LED共阳数码动态显示的C51源程序.rar-a total of four LED digital yang dynamic display of the C51 source. Rar
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:meng_
  1. 时间显示系统

    0下载:
  2. 采用清华TPC-1十六位微机实验台上的并行口扫描键盘控制LED显示,以制作一个时间显示系统。-used Qinghua TPC-1 16 Microcomputer Laboratory platform parallel port scanning keyboard control LED display, for the production of a time display system.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:郭娜
  1. 87c752

    0下载:
  2. 87C52单片机在气流测量中的应用(过量保护,led显示,按键显示)-87C52 microcontroller in airflow measurement of (excessive protection, led displays, buttons displayed)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:3072
    • 提供者:黄旭艳
  1. mosane_NeoNumLED_v0.3

    0下载:
  2. 仿真数字 LED 显示控件 关键字:图形 显示 LED 控件 图形 来 自:原创 深浅度:初级 发布时间:2005-4-4 分  类:图形 发布者:mosane 更新时间:2005-4-4 语  种:简体中文 编辑器:DELPHI7 平  台:Win9x,Win2k/XP/NT,Win2003 下载浏览:219/836 作品源代码: 本地电信HTTP下载 软件或演示: - 代码大小: 13.0K 软件大小: - BT搜索客 最新、最快的
  3. 所属分类:界面编程

    • 发布日期:2024-05-18
    • 文件大小:13312
    • 提供者:司马仲达
  1. 串行芯片与单片机接口

    0下载:
  2. 串行芯片595单片机接口,用于led显示的c语言程序-595 chip microcontroller serial interface for the show led c Language Program
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:cc
  1. LED_DEMO_64X32

    0下载:
  2. LED 显示 的小程序主要用于获得点阵码串口通信的自己设置-LED display of small programs mainly for access to serial dot matrix codes set up their own communications
  3. 所属分类:其他小程序

    • 发布日期:2024-05-18
    • 文件大小:14336
    • 提供者:
  1. led模拟器源程序及演示程序

    0下载:
  2. 该模拟器是在Keil7.0中使用。 只要把Ex02Dll.dll复制到 X:\Keil\C51\BIN目录下。 打开测试程序,编译运行即可看到如图led.jpg中所显示的效果。-The simulator is used in Keil7.0. As long as Ex02Dll.dll copied to the X : \ Keil \ C51 \ BIN directory. Open test procedure, compi
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:7372800
    • 提供者:wxd
  1. 6位8段数码管显示-程序

    0下载:
  2. 用汇编语言编写的6位8段数码管显示程序与MS-51单片机实验系统配合使用-assembly language prepared by six of eight digital control display program with the MS-51 used in conjunction with experimental system
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:1024
    • 提供者:涛涛
  1. LED代码生成器

    0下载:
  2. 这是一款专门用于单片机上显示的LED字符生成器,只要经过简单的段排列,通过鼠标选择你想要成生的字符图案。本软件分为生成常规字符和特殊字符两种功能-This is a special show for SCM LED character generator, through a simple paragraph with, you want the mouse to choose students into the pictorial c
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:3011584
    • 提供者:鲍尾弟
  1. LED点阵

    0下载:
  2. 大屏幕led点阵显示的驱动时序。 使用vhdl语言描述。其中rom文件可以使用lpm_megcore自动生成。-big screen led to the dot matrix display driver timing. The use of VHDL descr iption language. Rom which documents can be automatically generated using lpm_megcore.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:王卫
  1. 数码LED驱动程序 led

    0下载:
  2. AVR单片机的 数码LED驱动程序 ,使LED循环跳动显示。-AVR digital LED drivers, the LED display beating cycle.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:12288
    • 提供者:晏昌平
  1. 并行口直接驱动LED显示

    0下载:
  2. 利用 AT89S51 单片机的 P0 端口的 P0.0 - P0.7 连接到一个共阴数码管的 a - h 的笔段上,数码管的公共端接地。在数码管上循环显示 0 - 9 数字,时间间隔 0.2 秒。 -use AT89S51 SCM P0 port of P0.0- P0.7 connected to a digital control Yam were a-h of the pen, digital tube connected t
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:8192
    • 提供者:王伯燕
  1. max7219_1

    0下载:
  2. 8位LED显示驱动芯片MAX7219的驱动程序-eight LED display driver chip MAX7219 driver
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:165888
    • 提供者:张义刚
  1. 6位数码管时钟程序2222

    1下载:
  2. 数码6位数码管时钟程序2222  6 位数码管多功能时钟程序 74HC164驱动LED 显示 74hc138进行位选 Creat By: 单片东东 2004.12.28 bian xie-six digital clock control procedures 2222 6-bits digital clock control procedures 74HC164 multifunctional LED Di
  3. 所属分类:按钮控件

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:随风
  1. 双路脉冲发生器(veralog)

    0下载:
  2. Verilog HDL 程序 双路脉冲发生器的代码 包含了键盘控制,LED显示,脉冲发生,脉冲频率测量模块 是我自己写得,希望能对你有帮助,有问题可以mail:shaojunwu1@163.com-Verilog HDL dual-channel pulse generator procedure code includes a keyboard control, LED display, pulse, pulse freq
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-18
    • 文件大小:4096
    • 提供者:邵君武
  1. 数码管LED显示器max7219的应用

    0下载:
  2. pic16f877控制MAX7219LED数码显示器的程序-MAX7219LED dsPIC digital display control procedures
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-18
    • 文件大小:2048
    • 提供者:
« 1 2 ... 4 5 6 7 8 910 11 12 13 14 ... 50 »

源码中国 www.ymcn.org