搜索资源列表

  1. mux

    0下载:
  2. 每路输入数据与输出数据均为4位2进制数,当选择开关(至少3位)或输入数据发生变化时,输出数据也相应地变化。有兴趣的同学可以进一步扩充系统功能。
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:886
    • 提供者:peak
  1. MUX

    0下载:
  2. 用CASE实现4选1数据选择器 很实用 运用VERILOG
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:1588
    • 提供者:李俊
  1. mux

    0下载:
  2. 每路输入数据与输出数据均为4位2进制数,当选择开关(至少3位)或输入数据发生变化时,输出数据也相应地变化。有兴趣的同学可以进一步扩充系统功能。-Each input data and output data are the four hexadecimal number 2, when the selector switch (at least 3) or input data changes, the output data chan
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:peak
  1. yibuqinglin

    0下载:
  2. 含异步清0和同步时钟使能的4位加法计数器 含计数使能,异步复位和计数值并行预置功能4位加法计数器,由实验图1所示,图中间是4位锁存器 rst是异步清信号,高电平有效 clk是锁存信号 D[3..0]是4位数据输入端.当ENA为 1 时,多路选择器将加1器的输出值加载于锁存器的数据端 当ENA为 0 时将"0000"加载于锁存器.-With asynchronous and synchronous clock clearance
  3. 所属分类:Windows编程

    • 发布日期:2024-06-17
    • 文件大小:63488
    • 提供者:黄杰深
  1. MUX

    0下载:
  2. 用CASE实现4选1数据选择器 很实用 运用VERILOG-Using CASE to achieve 4 election 1 Data Selector practical use Verilog
  3. 所属分类:其他小程序

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:李俊
  1. mux

    0下载:
  2. 多路选择器是一个多输入,单输出的组合逻辑电路,在算法电路的实现中常用来根据地址码来调度数据。-MUX is a multi-input, single-output combinational logic circuit, in the algorithm used in the realization of circuits to address code in accordance with scheduling data.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:119808
    • 提供者:张应辉
  1. mux

    0下载:
  2. 用case描述的 四选一 数据选择器短小精湛初学者必看-With the case described in four short selection of a data selector superb must-see for beginners
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-06-17
    • 文件大小:313344
    • 提供者:张江
  1. mux

    0下载:
  2. 数据选择器,可移植性很强,适合很多程序中使用,非常好!简单-Data selector, portability is very strong, suitable for use in many procedures, very good! simple
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:panghui
  1. 8-1-mux

    0下载:
  2. 八选一数据选择器,Verilog HDL语言描述,包含文件说明和波形截图-8-1 MUX, Verilog HDL language descr iption , contains the file descr iption and waveform capture
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:15360
    • 提供者:孙璐
  1. mux

    0下载:
  2. 二选一数据选择器,可以实现在两个数据中选择一个数据的功能。-Choose one data selector can a data in two data functions.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:1024
    • 提供者:ellen
  1. mux41

    0下载:
  2. 四选一数据选择器(四个输入选择一个输出)(Four select a data selector)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-17
    • 文件大小:300032
    • 提供者:木七渊
  1. mux 8_1

    0下载:
  2. 八选一数据选择器,hdl语言,基于FPGA,MAXⅡ,240T100C5.(Eight, select a data selector, HDL language, based on FPGA, MAX II, 240T100C5)
  3. 所属分类:Windows编程

    • 发布日期:2024-06-17
    • 文件大小:147456
    • 提供者:LilyCc

源码中国 www.ymcn.org