搜索资源列表

  1. mealy FSM

    0下载:
  2. mealy fsm 和moore fsm-mealy Fsm and moore Fsm
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:937
    • 提供者:scy
  1. MEALY

    0下载:
  2. MEALY状态机的输出是现态和输入的函数.在SRAM控制器状态机中,写有效WE不仅和WRITE状态有关,还和总线命令WRITE_MASK有关.这样,输出WE信号按设计要求表示为现态WRITE和现态输入WRITE_MASK的函数.本程序基于VHDL,开发环境为MAXPLUS2
  3. 所属分类:其它

    • 发布日期:2008-10-13
    • 文件大小:29875
    • 提供者:weixiaoyu
  1. MEALY

    0下载:
  2. 状态机设计,用VHDL进行MEALY型状态机的设计。由于两个程序本身有延时现象,本实验进行了改进。
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:157438
    • 提供者:wang
  1. Verilog教程

    0下载:
  2. 目 录 译者序 前言 第1章 简介 1 1.1 什么是Verilog HDL? 1 1.2 历史 1 1.3 主要能力 1 第2章 HDL指南 4 2.1 模块 4 2.2 时延 5 2.3 数据流描述方式 5 2.4 行为描述方式 6 2.5 结构化描述形式 8 2.6 混合设计描述方式 9 2.7 设计模拟 10 第3章 Verilog语言要
  3. 所属分类:电子书籍

    • 发布日期:2009-06-09
    • 文件大小:4169233
    • 提供者:mayzhao
  1. mealy FSM

    0下载:
  2. mealy fsm 和moore fsm-mealy Fsm and moore Fsm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:1024
    • 提供者:scy
  1. MEALY

    0下载:
  2. MEALY状态机的输出是现态和输入的函数.在SRAM控制器状态机中,写有效WE不仅和WRITE状态有关,还和总线命令WRITE_MASK有关.这样,输出WE信号按设计要求表示为现态WRITE和现态输入WRITE_MASK的函数.本程序基于VHDL,开发环境为MAXPLUS2-Mealy state machine output is now a function of state and input. In the SRAM contr
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:29696
    • 提供者:weixiaoyu
  1. MEALY

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:156672
    • 提供者:wang
  1. (Mealy)

    0下载:
  2. 状态机的基础,实现状态之间的转换,四个状态在不同情况的转换功能-The basis of state machine to achieve a state of transition between the four different situations in the state of the conversion function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:152576
    • 提供者:sunrier
  1. mealy1

    0下载:
  2. mealy 状态机的独热编码源程序,接受么mealy状态机的编写规则。-mealy state machine of one-hot encoding source code, you mealy state machine to accept the preparation of the rules.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:1024
    • 提供者:liyanjun
  1. mealy

    0下载:
  2. 利用mealy状态机编写的一个8状态的数据检测机,如果输入为0101或者1001则输出为1,否则为0-Prepared using mealy state machine data from an 8-state detector, if the input for the 0101 or 1001 output is 1, otherwise 0
  3. 所属分类:其他小程序

    • 发布日期:2024-05-25
    • 文件大小:12288
    • 提供者:luxin
  1. mealymoore

    0下载:
  2. verilog project for mealy and moore
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:26624
    • 提供者:vinod
  1. mealy

    0下载:
  2. 一个两进程结构的Mealy状态机,其中各状态的转换方式由输入信号DINI控制-failed to translate
  3. 所属分类:汇编语言

    • 发布日期:2024-05-25
    • 文件大小:1024
    • 提供者:朱恩培
  1. mealy

    0下载:
  2. MEALY fsm source code in vhdl, implemented on fpga
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:328704
    • 提供者:alyna
  1. mealy

    0下载:
  2. mealy型状态机的描写,里面有详细的步骤和源程序-mealy state machine descr iption, there are detailed steps and source code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:218112
    • 提供者:文苗
  1. mealy

    0下载:
  2. 此代码能够运用状态机的思想实现mealy型的时序逻辑电路-This code can use state machine thought realize mealy type of sequential logic circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:1940480
    • 提供者:边茂宣
  1. Mealy-FSM

    0下载:
  2. 这个程序描述的是模拟并实现了米里有限状态机的功能的实例-This procedure describes the simulation and Mealy finite state machine instance
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:11264
    • 提供者:Armstrong
  1. Mealy

    0下载:
  2. VerilogHDL语言实现的Mealy序列检测器-VerilogHDL language of Mealy sequence detector
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:1024
    • 提供者:钱门振
  1. Mealy

    0下载:
  2. Example of Mealy sequence in VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-25
    • 文件大小:37888
    • 提供者:Japerski
  1. basic verilog codes

    0下载:
  2. Basic Verilog code includes RING and Johnson counters, Up-down counters, RAM, ROM, SIPO, PISO, SISO, PIPO, Mealy and Moore FSM codes
  3. 所属分类:VHDL编程

    • 发布日期:2018-01-12
    • 文件大小:9386
    • 提供者:spgp1306
  1. PROJECT1

    0下载:
  2. mealy状态机,监测序列,可以检测一个特定的序列(Mealy state machine, monitoring sequence, can detect a specific sequence.)
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-25
    • 文件大小:470016
    • 提供者:沉桦三
« 12 3 4 »

源码中国 www.ymcn.org