搜索资源列表

  1. Gardner_Symbol_synchronization

    0下载:
  2. 这是用Gardner算法做的载波同步,其中用到了扩频系统中的PN序列,程序经过仿真,结果正确-Gardner Symbol synchronization
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:张星
  1. PN

    0下载:
  2. 非周期直接序列扩频信号PN码盲估计,利用了子空间跟踪算法。-Aperiodic direct sequence spread spectrum signal blind estimation of PN code using a subspace tracking algorithm.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:631808
    • 提供者:尹落尘
  1. DTMB-detection-of-mode-

    0下载:
  2. 介绍了一种可在较短时间内检测到数字电视国标系统工作模式的方法。该方法利用帧头PN序列和PN序列扩展保护部 分的相关特性、循环特性以及0FDM信号部分的周期特性进行判决,可达到很好的检测效果,该方法同时可加入跟踪模块对系统 工作模式的突然改变作出反应。还介绍了两种可以在硬件资源和性能上进行折中的检测方法-Describes a method can be detected in a relatively short perio
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:234496
    • 提供者:liuweiwei
  1. PN

    0下载:
  2. 扩频通信系统的M序列、Gold码、Walsh码的生成-M sequence spread spectrum communication system, Gold code, Walsh code generated
  3. 所属分类:语音压缩

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:陈圆
  1. user_two

    0下载:
  2. Ds_CDMA EASI 算法使用两个用户的分离实现PN序列以及用户信息的分离-To solve the problem of the direct sequence code division multiple access (DS-CDMA) system multiuser detection, this paper proposes a variable step-size based on performance index
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:吴旺军
  1. gold

    0下载:
  2. gold序列的生成 PN序列Gold序列极好的自相关性 生成64位的PN序列 -Gold sequence generated PN sequence gold sequence excellent correlation
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:吴旺军
  1. sequence

    0下载:
  2. 三种PN序列自相关性分析,和循环移位互相关分析gold,小m序列,walsh码-Three kinds of PN sequence from the correlation analysis, and rotate the cross-correlation analysis, contants gold, small m sequence, walsh code
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:59392
    • 提供者:liangzhongfa
  1. m_xulie

    0下载:
  2. 1.掌握PN序列的相关知识,掌握m序列的产生原理及其在matlab中的产生方法,对特定长度的m序列,分析其性质,及其用来构造其它序列的方法。2.研究伪随机序列在跳频通信中的应用方法。-1. Acquire the relevant knowledge PN sequence generated grasp the principle of m sequences and generation method in matlab, m se
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:宋杭科
  1. VHDL

    0下载:
  2. 通信领域里的产生随机PN序列,QPSK调制解调的VHDL代码,适合通信领域的人士使用-Communication in the field of random PN sequence, QPSK modulation and demodulation of the VHDL code, those suitable for use in the field of communications
  3. 所属分类:语音压缩

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:岳雨豪
  1. pn

    0下载:
  2. 本程序可生成直接序列扩频中所需的PN伪码m序列和golden序列.-The program can generate the required direct sequence spread spectrum PN sequence and golden pseudo-code sequence m
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:Poul
  1. PN_channeldetection

    0下载:
  2. PN序列信道探测 包括调制、同步、接收计算得到CIR等。-Channel PN sequence including modulation detection, synchronization, and so the reception CIR calculated.
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:李文娟
  1. PN_LMS_ECHOcanceller

    0下载:
  2. 基于PN序列的数字直放站中回波抵消matlab实现代码,信号源采用OFDM调制信号,并有详细的注释说明,可以供大家参考借鉴-Digital repeater stations based on PN sequence in echo offset matlab code, signal source using OFDM modulation signal, and a detailed annotation, can for your
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:刘浩
  1. PN-code-synchronization-simulation

    0下载:
  2. 直接序列扩频伪码跟踪simulink仿真程序,仿真PN码捕获,跟踪过程完整过程。-Direct sequence spread spectrum code tracking simulink simulation program, emulation PN code acquisition process complete process of tracking.
  3. 所属分类:书籍源码

    • 发布日期:2024-05-20
    • 文件大小:2182144
    • 提供者:黄小一
  1. MseqGen

    0下载:
  2. M序列生成的matlab程序,m序列是目前CDMA系统中采用的最基本的PN序列。 是最长线性反馈移位寄存器序列的简称-M sequence generation matlab program, m sequence is the most basic PN sequence CDMA systems currently used. Is the longest linear feedback shift register sequenc
  3. 所属分类:3G开发

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:abc
  1. PN_Sliding-Correlator--

    0下载:
  2. 用于对扩频滑动相关器信道检测的仿真,对127位的PN序列进行了仿真,载波频率2.4GHz- Spread Spectrum Sliding Correlator Channel Sounding
  3. 所属分类:其他小程序

    • 发布日期:2024-05-20
    • 文件大小:2048
    • 提供者:vivid
  1. PN_seq_analysis

    0下载:
  2. 对伪随机序列进行理论分析,对比樊昌信—通原(第六版)的相关理论知识,对于PN序列的产生进行了深入细致的理论分析,便于初学者更好地掌握PN序列的产生原理。-Pseudo-random sequence of theoretical analysis, comparative Fan Changxin- through original (sixth edition) related to theoretical knowledge, fo
  3. 所属分类:编程文档

    • 发布日期:2024-05-20
    • 文件大小:217088
    • 提供者:crazy
  1. PNdemo

    0下载:
  2. PN序列发生器,产生伪随机序列的程序。 程序产生的伪随机序列可以用于建立数据源模型。-PN sequence generator, pseudo-random sequence generator. Pseudo-random sequence generated by the program can be used to set up a data source model.
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:顾正文
  1. PNSeqGenerator

    0下载:
  2. PN序列(Pseudo-noise Sequence)伪噪声序列. 这类序列具有类似随机噪声的一些统计特性,但和真正的随机信号不同,它可以重复产生和处理,故称作伪随机噪声序列。PN序列有多种,其中最基本常用的一种是最长线形反馈移位寄存器序列,也称作m序列,通常由反馈移位寄存器产生。-PNSeqGenerator,This class of sequences with some statistical properties simi
  3. 所属分类:matlab例程

    • 发布日期:2024-05-20
    • 文件大小:1024
    • 提供者:liang
  1. DTMB_Modulator1111

    0下载:
  2. 本总体方案完成国标GB20600-2006数字电视地面广播传输系统基带部分功能。 输入数据码流经过扰码器(随机化)、前向纠错编码(FEC),然后进行比特流到符号流的星座映射,再进行交织后形成基本数据块,基本数据块与系统信息组合(复用)后并经过帧体数据处理形成帧体,帧体与相应的帧头(PN序列)复接为信号帧(组帧),经过基带后处理转换为输出信号(8MHz带宽内)。该信号经变频转换为射频信号(UHF和VHF频段范围内)。用C程序设计了图形交互
  3. 所属分类:系统编程

    • 发布日期:2024-05-20
    • 文件大小:25513984
    • 提供者:高星
  1. PN

    0下载:
  2. 介绍了pn的产生 BPSK调制序列的生成 发射序列的产生 产生随机传输数据等-Introduction to the generation of pn The generation of BPSK modulation sequences Generation of emission sequences Generating random transmission data, etc.
  3. 所属分类:Modem编程

    • 发布日期:2024-05-20
    • 文件大小:3072
    • 提供者:陈彦冠
« 1 2 3 4 5 67 8 9 10 »

源码中国 www.ymcn.org