搜索资源列表

  1. spi_master

    0下载:
  2. 基于CPLD/FPGA的SPI控制的IP核的实现spi_master
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:909
    • 提供者:linsky
  1. QE128_Code

    0下载:
  2. 飞思卡尔MC9S08QE128芯片和MCF51QE128芯片所有模块的范例代码,包括ACMP, ADC, ICS, IIC_Master, IIC_Slave, KBI, PWM, RTC, SCI, SPI, SPI_Master, SPI_Slave, TPM等, 希望能帮到大家, 共同进步
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:2915195
    • 提供者:林克
  1. xapp348_SPI_Master

    0下载:
  2. SPI_Master source code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:1635929
    • 提供者:kevenk
  1. SPI_master

    0下载:
  2. pic18f的spi主机代码pi c18f的spi主机代码
  3. 所属分类:汇编语言

    • 发布日期:2008-10-13
    • 文件大小:11916
    • 提供者:姬雨初
  1. SPI_Master

    0下载:
  2. SPI_Master
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2011-02-26
    • 文件大小:2215
    • 提供者:lixinle570
  1. spi_master

    0下载:
  2. 基于CPLD/FPGA的SPI控制的IP核的实现spi_master-Based on CPLD/FPGA to control the SPI realize the IP core spi_master
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:linsky
  1. QE128_Code

    0下载:
  2. 飞思卡尔MC9S08QE128芯片和MCF51QE128芯片所有模块的范例代码,包括ACMP, ADC, ICS, IIC_Master, IIC_Slave, KBI, PWM, RTC, SCI, SPI, SPI_Master, SPI_Slave, TPM等, 希望能帮到大家, 共同进步-Freescale MC9S08QE128 chips and chip MCF51QE128 all modules code exampl
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:2915328
    • 提供者:林克
  1. xapp348_SPI_Master

    0下载:
  2. SPI_Master source code
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:1635328
    • 提供者:kevenk
  1. SPI_master

    0下载:
  2. pic18f的spi主机代码pi c18f的spi主机代码-PIC18F host of the spi code pi c18f the spi host code
  3. 所属分类:汇编语言

    • 发布日期:2024-05-19
    • 文件大小:11264
    • 提供者:姬雨初
  1. SPI_Master

    0下载:
  2. 周立功SMARTARM2300源码 SPI-Zhou Ligong SMARTARM2300 source SPI
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-19
    • 文件大小:28672
    • 提供者:王超
  1. SPI_Master

    0下载:
  2. 用 verilogHDL实现SPI总线的程序-SPI bus with verilogHDL the realization of the procedures
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:秦建
  1. spi_master

    0下载:
  2. SPI主机模式进行串行通信,可自动收发数据-SPI master mode serial communication, can automatically send and receive data
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:362496
    • 提供者:党晓圆
  1. SPI_Master

    0下载:
  2. simple program in using SPI as master in AVR atmega32-simple program in using SPI as master in AVR atmega32
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:24576
    • 提供者:Fahad
  1. spi_Master

    0下载:
  2. 实现了对SD卡的SPI方式下读写操作,已经测试了,可以直接用-The realization of the SD card to read and write SPI operation mode has been tested, can be directly used
  3. 所属分类:SCSI/ASPI

    • 发布日期:2024-05-19
    • 文件大小:2116608
    • 提供者:张立涛
  1. SPI_master

    0下载:
  2. SPI通讯的主机程序,主机发送,从机接受,真实可用-SPI communication host program, host sent from machine to accept, the real available
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:正阳
  1. spi_master

    0下载:
  2. SPI主机通信程序,在TI的TMS320F2802上经过调试通过。开发环境为CCS3.3
  3. 所属分类:DSP编程

    • 发布日期:2024-05-19
    • 文件大小:2048
    • 提供者:郑康山
  1. sci-spi_master

    0下载:
  2. freescale 16位单片机DG128的spi通讯程序。此程序为主节点。-freescale 16-bit single chip spi communication procedures of the DG128. This process-based nodes.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-19
    • 文件大小:230400
    • 提供者:dengwei
  1. spi_master

    0下载:
  2. SPI wishbone master and verification environment
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:2506752
    • 提供者:王小墨
  1. SPI_Master(2byteperSPIcycle)

    0下载:
  2. 本人用VerilogHDL编写的SPI程序,该程序基于MAX3420E器件,一个SPI周期包括两个字节的传输,经测试,在MAX420E器件上功能正确,请放心使用!-I prepared SPI program MAX3420E-based devices, including a two-byte SPI cycle of transmission, by testing the device on MAX420E function c
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:liangqf
  1. spi_master

    0下载:
  2. it is code for avr microcontroller in which microcontroller acts as a MASTER
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-19
    • 文件大小:11264
    • 提供者:jawad
« 12 3 »

源码中国 www.ymcn.org