搜索资源列表

  1. 5-2-2Syn

    0下载:
  2. synplify环境下 实现 全加器 功能-synplify environment to achieve full functionality increases
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:7505
    • 提供者:wuhao
  1. FPGA_NEW_APPROACH_TO_IMPLEMENT_CHAOTIC_GENERATOR.

    0下载:
  2. In this paper, a new method is introduced to implement chaotic generators based on the Henon map and Lorenz chaotic generators given by the state equations using the Field Programmable Gate Array (FPGA). The aim of this
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:253952
    • 提供者:gsbnd
  1. Synplify_teaching

    0下载:
  2. synplify工具的教程,教你如何驾驭synplify-the synplify tool teaching
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:872448
    • 提供者:刘大鹏
  1. data

    0下载:
  2. 综合工具synplify的使用资料,非常有用,我正在使用-data of Synplify
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:1519616
    • 提供者:rocky
  1. Synplify8.62crack

    0下载:
  2. synplify 8.62 crack file,可以用,试过了,非常好-crack of synplify 8.62
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:23552
    • 提供者:rocky
  1. DDSyuanma

    0下载:
  2. DDS波形发生器 (Synplify pro 编译通过)--输出频率 Fout = Fclk*2^M/2^N--分辨率 Fclk/2^N--最大输出频率 Fout = Fclk*50 (理论值,抽样定理)-DDS Waveform Generator (Synplify pro compiler through)- the output frequency Fout = Fclk* 2 ^ M/2 ^ N- Resolution Fcl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:305152
    • 提供者:lishaozhe
  1. TestBench

    0下载:
  2. 怎样写testbench 本文的实际编程环境:ISE 6.2i.03 ModelSim 5.8 SE Synplify Pro 7.6 编程语言 VHDL 在ISE 中调用ModelSim 进行仿真-、assert (s_cyi((DWIDTH-1)/4) = 0 ) and (s_ovi = 0 ) and (s_qutnt = conv_std_logic_vector(v_quot,DWIDTH))
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:90112
    • 提供者:lei
  1. AdderE

    0下载:
  2. synplify中tcl语言应用,使用AdderE八位全加器为例,介绍一个设计针对不同器件综合-synplify in the tcl language application, use AdderE eight full-adder as an example, an integrated design for different devices
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Henry
  1. SRAM_Control

    0下载:
  2. VHDL Code for SRAM Control (Synthesized with Synplify-Pro, Active-HDL Simulation)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:82944
    • 提供者:DongHee Kim
  1. synplify_ref_ug

    0下载:
  2. Synplify指导手册,内有vhdl、verilog、system verilog等综合详细指导,非常好的进阶资料喔!虽是英文的,但来自官方,绝对可靠喔!-Synplify guide, there vhdl, verilog, system verilog detailed, comprehensive guide, very good advanced data Oh! Although in English, but from
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:4602880
    • 提供者:Jasking Wu
  1. synplify_ug

    0下载:
  2. Synplify user s guide,很好的synplify用户手册,对综合入门很有帮助。全英文,官方资料-Synplify user' s guide, a good synplify user manual, on the consolidated entry helpful. In English, the official data
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2495488
    • 提供者:Jasking Wu
  1. synplify_makefile

    0下载:
  2. synplify、ise和verdi在linux上的makefile;多个工具集成在一个文件管理,方便快捷,值得参考-the makefile for synplify, ise and verdi on Linux multiple tools integrated into a document management, convenient and valuable reference! ! !
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:1024
    • 提供者:Jasking Wu
  1. Synplicity_Synplify_Pro_v7.0

    0下载:
  2. synplify pro v7.0 keygen
  3. 所属分类:其他小程序

    • 发布日期:2024-05-19
    • 文件大小:114688
    • 提供者:al
  1. Synplify_Pro_FPGA

    0下载:
  2. 基于 Synplify /Synplify Pro 的 FPGA 高级综合设计-Based Synplify/Synplify Pro advanced FPGA synthesis design of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:279552
    • 提供者:mingboshui
  1. Libero8.3

    0下载:
  2. 介绍了 Actel FPGA 的集成开发环境 IDE 的使用,从软件的安装和设置,以及 通过一个简单的例子说明如何使用 IDE中集成的第三方软件,如:Synplify、ModelSim等,可以帮助读者快速入门,缩短开发时间。-Actel FPGA introduced the use of IDE integrated development environment, from software installation and s
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:2623488
    • 提供者:anranxjk
  1. Synplify_FPGA_HUAWEI

    0下载:
  2. Synplify工具使用指南(华为文档),华为培训资料,华为工程师讲述FPGA软件工具的使用-Synplify of FPGA soft by huawei Inc.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:530432
    • 提供者:heanyu
  1. ModelSimPSynplifyPQuartus-

    0下载:
  2. ModelSim+Synplify+Quartus fpga软件应用-ModelSim+ Synplify+ Quartus
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:393216
    • 提供者:重阳
  1. synplify

    0下载:
  2. synplify工具使用指南 FPGA开发工具-synplify FPGA DC tools
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-19
    • 文件大小:486400
    • 提供者:王维
  1. Synplify

    0下载:
  2. Synplify快速入门的内部资料,是学习Synplify的好资料-The Synplify s QuickStart internal information, is learning the Synplify good information
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-19
    • 文件大小:100352
    • 提供者:liguohui
  1. Synplify.Premier__9.6.2_with_crack

    0下载:
  2. Synplify.Premier__9.6.2破解教程及文件-Synplify.Premier__9.6.2 crack tutorial and file
  3. 所属分类:加密解密

    • 发布日期:2024-05-19
    • 文件大小:59392
    • 提供者:赵岩
« 1 23 4 5 »

源码中国 www.ymcn.org