搜索资源列表

  1. FPGA_27eg

    0下载:
  2. FPGA很有价值的27实例.rar 包括 LED控制VHDL程序与仿真 2004.8修改.doc; LED控制VHDL程序与仿真; LCD控制VHDL程序与仿真 2004.8修改; LCD控制VHDL程序与仿真; ADC0809 VHDL控制程序; TLC5510 VHDL控制程序; DAC0832 接口电路程序; TLC7524接口电路程序; URAT VHDL程序与仿真; ASK调制与解调
  3. 所属分类:文件操作

    • 发布日期:2008-10-13
    • 文件大小:1279333
    • 提供者:
  1. TLC7524

    0下载:
  2. 本程序是用VHDL语言实现对AD控制,内含源代码,仿真结果及解释说明。相信大家看了就会明白
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2008-10-13
    • 文件大小:4019
    • 提供者:敬礼
  1. TLC7524.vhd

    0下载:
  2. TLC7524的接口源程序
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:1335
    • 提供者:zhangfeng
  1. TLC7524

    0下载:
  2. 本程序是用VHDL语言实现对AD控制,内含源代码,仿真结果及解释说明。相信大家看了就会明白
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:敬礼
  1. TLC7524Code

    0下载:
  2. TLC7524接口电路程序的VHDL程序代码-TLC7524 interface circuit procedures VHDL code
  3. 所属分类:书籍源码

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:贺平
  1. TLC7524.vhd

    0下载:
  2. TLC7524的接口源程序-TLC7524 source interface
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:zhangfeng
  1. VHDL

    0下载:
  2. 包含TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:92160
    • 提供者:gillyamylee
  1. TLC7524

    0下载:
  2. --功能:产生156.25KHz的正弦波。-Functions: the sine wave generated 156.25KHz.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:张文辉
  1. TLC7524

    0下载:
  2. TLC7524接口电路程序,采用硬件描述语言VHDL编写,做实验,写报告相当有用-TLC7524 interface circuit procedures, the use of VHDL hardware descr iption language preparation, experiment, write a useful report
  3. 所属分类:行业应用软件

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:chenqin
  1. TKC7524jiekoudianluchengxu

    0下载:
  2. 根据TLC7524输出控制时序,利用接口电路图,通过改变输出数据,设计一个正弦波发生器。TLC7524是8位的D/A转换器,转换周期为 ,所以锯齿波型数据有256个点构成,每个点的数据长度为8位。.FPGA的系统时钟为 ,通过对其进行5分频处理,得到频率为 的正弦波-TLC7524 output under the control of timing, the use of interface circuit, by changing
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:1024
    • 提供者:离火
  1. TLC7524

    0下载:
  2. 8.7 TLC7524接口电路程序 见随书所附光盘中文件:TLC7524VHDL程序与仿真。 --文件名:TLC7524.VHD --功能:产生156.25KHz的正弦波。 --最后修改日期:2004.3.18。 -8.7 TLC7524 interface circuit procedures see accompanying CD-ROM with the book files: TLC7524VHDL and
  3. 所属分类:其他小程序

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:wangnan
  1. TLC7524

    0下载:
  2. TLC7524接口电路程序,里面含详细说明。-TLC7524 program interface circuit, which contains a detailed descr iption.
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:
  1. tlc7524

    0下载:
  2. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:569344
    • 提供者:yanyan
  1. TLC7524

    0下载:
  2. 8.7 TLC7524接口电路程序.doc-8.7 TLC7524 interface circuit program. Doc
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:李传敏
  1. TLC7524

    0下载:
  2. TLC5510 VHDL控制程序,TLC7524接口电路程序和URAT VHDL程序与仿真,都已调试过.-TLC5510 VHDL- contains control procedures, TLC7524 interface circuit processes and procedures and URAT VHDL simulation, have been debug.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:duanjinsheng
  1. TLC7524-programmer

    0下载:
  2. TLC7524接口电路和控制程序,请初学者参考-TLC7524 interface circuit and control procedures, please refer to beginners
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:guofeng
  1. TLC7524

    0下载:
  2. 这是一个有关于TLC7524接口电路程序,在quartus中运行-This is a TLC7524 interface circuit program, run in quartus
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:huijia1002
  1. 8.7-TLC7524

    0下载:
  2. TLC7524接口电路程序:产生156.25KHz的正弦波-TLC7524 interface circuit program: produce 156.25KHz sine wave
  3. 所属分类:并行运算

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:jack
  1. TLC7524-interface-circuit-program

    0下载:
  2. 使用VHDL语言,编写的TLC7524接口电路程序,-Using VHDL language, interface circuit TLC7524 written procedures,
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:ludongdong
  1. TLC7524-interface-circuit-program

    0下载:
  2. TLC7524接口电路程序VHDL实现及仿真-TLC7524 interface circuit VHDL implementation and simulation program
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-05
    • 文件大小:4096
    • 提供者:吴云志
« 12 »

源码中国 www.ymcn.org