搜索资源列表

  1. VHDLgdewrrrrrrrrrrrr

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:Windows编程

    • 发布日期:2008-10-13
    • 文件大小:4245
    • 提供者:jazvy
  1. cross_lights

    0下载:
  2. 本设计中选用目前应用较广泛的VHDL硬件电路描述语言,实现对路*通灯系统的控制器的硬件电路描述,通过编译、仿真,并下载到CPLD器件上进行编程制作,实现交通灯系统的控制过程。EDA技术是用于电子产品设计中比较先进的技术,可以代替设计者完成电子系统设计中的大部分工作,而且可以直接从程序中修改错误及系统功能而不需要硬件电路的支持,既缩短了研发周期,又大大节约了成本,受到了电子工程师的青睐。实现路*通灯系统的控制方法很多,可以用标准逻辑器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:4451
    • 提供者:dcy
  1. watch

    0下载:
  2. 一个用VHDL编程基于CPLD的EDA实验板开发可以实现顺计时和倒计时的秒表。要求计时的范围为00.0S~99.9S,用三位数码管显示。 (1) 倒计时:通过小键盘可以实现设定计时时间(以秒为单位,最大计时时间为99.9秒)。通过键盘实现计时开始、计时结束。当所设定的倒计时间到达00.0S后,自动停止倒计时,同时响铃。 (2) 顺计时:初始值为00.0S,通过键盘实现开始计时和结束计时功能。计时结束后,显示记录的时间。 (3
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:412886
    • 提供者:YUJIAN.XU
  1. UART_VHDL

    0下载:
  2. 由于微电子学和计算机科学的迅速发展,给EDA(电子设计自动化)行业带来了巨大的变化。特别是进入20世纪90年代后,电子系统已经从电路板级系统集成发展成为包括ASIC、FPGA/CPLD和嵌入系统的多种模式。可以说EDA产业已经成为电子信息类产品的支柱产业。EDA之所以能蓬勃发展的关键因素之一就是采用了硬件描述语言(HDL)描述电路系统。就FPGA和CPLD开发而言,比较流行的HDL主要有Verilog HDL、VHDL、ABEL-HDL
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:290816
    • 提供者:lilei
  1. abc

    0下载:
  2. 本软件设计D触发器的目的和任务:1.使学生全面了解如何应用该硬件描述语言进行高速集成电路设计;2.通过软件使用、设计与仿真环节使学生熟悉EDA-VHDL开发环境;3. 通过对基本题、综合题的设计实践,使学生掌握硬件系统设计方法(自底向上或自顶向下),熟悉VHDL语言三种设计风格,并且培养学生应用VHDL语言解决实际问题的能力。 -The software design of D flip-flop of the purpose and
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-21
    • 文件大小:2048
    • 提供者:hooyu
  1. VHDLbaseddesignofmusicplayer

    0下载:
  2. 在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计,并在此基础上,基于同一原理,使此电路同时具备了简易电子琴的功能,使基于CPLD/FPGA芯片的乐曲播放数字电路得到了更好的优化,提高了设计的灵活性和可扩展性。- Based on the QuartusII-the EDA development tool, this de
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:97280
    • 提供者:bianwei
  1. 1[1]

    0下载:
  2. 设计并调试好一个由两个4 位二进制并行加法器级联而成的8 位二进制并行加法器,并 用GW48-CK EDA 实验开发系统(拟采用的实验芯片的型号为EPF10K10LC84-3)进行硬件 验证。-vhdl
  3. 所属分类:汇编语言

    • 发布日期:2024-05-21
    • 文件大小:437248
    • 提供者:
  1. EDAmusicplayer

    0下载:
  2. EDA乐曲播放器,在EDA开发工具Quartus II 6.0平台上,采用VHDL语言层次化和模块化的设计方法,通过音符编码的设计思想,预先定制乐曲,实现动态显示乐曲演奏电路的设计-EDA music player
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:116736
    • 提供者:维吉尔
  1. VHDL-based-design-of-SPI

    0下载:
  2. 基于VHDL的串行同步通信SPI设计 本设计是用Quartus作为开发环境,以DE2板为硬件平台实现的SPI同步串行通讯。设计过程方便。根据接收和发送两个主要部分实现了SPI的基本功能。此外,该设计还实现了波特率发生器,数码管显示的功能。用DE2板实现具有电路简洁,开发周期短的优点。充分利用了EDA设计的优点。开发过程用了VHDL硬件描述语言进行描述,从底层设计,分模块进行,充分提高了设计者的数字逻辑设计的概念。-VHDL-bas
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:51200
    • 提供者:陈添
  1. 2

    0下载:
  2. EDA的课程设计,利用VHDL语言、PLD设计基于FPGA的出租车计费系统,选用ALTERA公司低功耗、低成本、高性能的FPGA芯片EPF10K10,以MAX+PLUSⅡ软件作为开发平台,设计了出租车计费器系统程序并进行了编译,功能仿真和下载。使其实现计费以及预置和模拟汽车启动、加速、停止、暂停等功能,并动态扫描显示车费数目。-EDA curriculum design, the use of VHDL language, PLD de
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:8192
    • 提供者:wang
  1. jtd

    0下载:
  2. EDA(VHDL)开发的交通灯设计,经过测试检验,正常运行。-EDA (VHDL) development of the traffic light design, tested and tested and normal operation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:420864
    • 提供者:开放
  1. VHDL

    0下载:
  2. EDA技术以EDA软件工具为开发环境,以可编程逻辑器件为实验载体,实现源代码编程和仿真功能。VHDL作为一种标准化的硬件描述语言用于描述数字系统的结构、行为、功能和接口。本设计提出了一种基于VHDL语言的编码器和译码器的实现方法。编码器与译码器是计算机电路中基本的器件,本课程设计采用EDA技术设计编码和译码器。编码器由8线-3线优先编码器作为实例代表,译码器则包含3线-8线译码器和2线-4线译码器两个实例模块组成。课程设计采用硬件描述
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:797696
    • 提供者:pear
  1. quanjieqi

    0下载:
  2. 基于EDA开发系统箱上实现2位全减器的VHDL编程语言,附带波形仿真。-EDA development system based on the realization of two boxes full subtracter in VHDL programming language, with wave simulation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:348160
    • 提供者:小熊
  1. shuzidianyabiao

    0下载:
  2. 系统基于EDA技术的智能数字电压表实现,以现场可编程门阵列(FPGA)为设计核心,集成于一片Xilinx公司的SpartanⅡE系列XC2S100E-6PQ208芯片上,在ISE环境下采用超高速硬件描述语言(VHDL)模块化编程,实现了电压的数据采集、转换、处理、显示等功能。本设计的特点在于能够测量的电压范围宽(0~50VDC),主要采用了分压原理,该系统具有集成度高、灵活性强、易于开发和维护等特点。-System based on E
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:15360
    • 提供者:zhao
  1. clock

    0下载:
  2. EDA用maxplus2开发设计的简易数字钟,适合初学者,vhdL语言-EDA maxplus2 in development and design of simple digital clock, is suitable for beginners, vhdL language
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:392192
    • 提供者:zzz
  1. eda

    0下载:
  2. 本实验目标是利用FPGA逻辑资源,编程设计实现一个串行通用异步收发器。实验器件为“创新综合实验平台”上集成的Altera NIOSII开发板,FPGA芯片型号为EP1C12F324C8。电路设计采用VHDL硬件描述语言编程实现,开发软件为QuartusII6.0。-The goal is to use the FPGA logic resources, programming design realize a serial genera
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:394240
    • 提供者:郭晓阳
  1. ppt

    0下载:
  2. 1、可编程逻辑器件,即应用EDA技术完成电子系统设计的载体; 2、硬件描述语言(VHDL 或者 Verilog)。它用来描述系统的结构和功 能,是EDA的主要表达手段; 3、配套的软件工具。它用来完成电子系统的智能化设计; 4、实验开发系统。在整个EDA设计电子系统的过程中,实验开发系统是实现可编程器件下载和验证的工具, -A programmable logic device, the application of
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-21
    • 文件大小:13585408
    • 提供者:周爱丹
  1. Point

    0下载:
  2. VHDL语言实现在16*16点阵显示模块上显示汉字。(EDA/SOPC开发平台)显示内容未输入,形式为16位字符串(0、1)显示0时对应点阵上的灯亮起,1的时候熄灭-VHDL language to display Chinese font on a 16 x 16 dot matrix display module. (EDA/SOPC development platform) , the form of a 16-bit stri
  3. 所属分类:Windows编程

    • 发布日期:2024-05-21
    • 文件大小:415744
    • 提供者:李冰恒
  1. eda-example

    0下载:
  2. 初学者福音,有70个基于FPGA VHDL开发历程,可以通过这些文件下载到QuartusII中,做实验-Beginners, there are 70 VHDL based on FPGA development course, can download the files to QuartusII, doing experiments
  3. 所属分类:其他小程序

    • 发布日期:2024-05-21
    • 文件大小:14235648
    • 提供者:曹晓岩
  1. text seven

    0下载:
  2. VGA彩条信号显示器设计 设计并调试好一个VGA彩条信号发生器,并用EDA实验开发系统(拟采用的实验芯片的型号可选Altera CycloneII系列的 EP2C5T144C8 FPGA。(A VGA color bar signal generator is designed and debugged, and an EDA experimental development system is used (the model of t
  3. 所属分类:图形图象

    • 发布日期:2024-05-21
    • 文件大小:772096
    • 提供者:一一11.
« 1 23 »

源码中国 www.ymcn.org