搜索资源列表

  1. speex-1.0.5.tar

    0下载:
  2. 一个非常好的开源音频编解码项目,支持多种音频采用频率,支持多码流,支持可变速率 Speex a free codec for free speech Speex is an Open Source/Free Software patent-free audio compression format designed for speech. The Speex Project aims to lower the barrier o
  3. 所属分类:IP电话/视频会议

    • 发布日期:2008-10-13
    • 文件大小:546872
    • 提供者:邹远富
  1. speex-1.0.5.tar

    0下载:
  2. 一个非常好的开源音频编解码项目,支持多种音频采用频率,支持多码流,支持可变速率 Speex a free codec for free speech Speex is an Open Source/Free Software patent-free audio compression format designed for speech. The Speex Project aims to lower the barrier o
  3. 所属分类:IP电话/视频会议

    • 发布日期:2024-05-15
    • 文件大小:546816
    • 提供者:邹远富
  1. altera_lwip

    0下载:
  2. 已移植到altera nios ii软核的基于microC/OS操作系统的lwip全套源代码- Transplanted to altera the nios ii soft nucleus based on microC/OS the operating system lwip complete set source code
  3. 所属分类:TCP/IP协议栈

    • 发布日期:2024-05-15
    • 文件大小:351232
    • 提供者:刘雅莎
  1. altera+dpd

    1下载:
  2. 数字预失真在通信领域内IP核的开发文档,包括数学表达式及硬件框图-Digital Predistortion in the field of IP communications in the development of nuclear documents, including mathematical expression and hardware block diagram
  3. 所属分类:软件工程

    • 发布日期:2024-05-15
    • 文件大小:1397760
    • 提供者:聂华
  1. imgconv

    0下载:
  2. lcm显示功能,用于单片机与嵌入式应用系统。可自由显示图形和文本。-LCM display function for the single-chip and embedded applications. Be free to display graphics and text.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1496064
    • 提供者:范桂山
  1. uart_IP

    0下载:
  2. altera 的uart ip核,可直接调用 在quartus中把库指向文件位置就可-altera the uart ip nuclear, can be directly called in the Quartus point in the database file location can be
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:5120
    • 提供者:李涛
  1. 16550

    0下载:
  2. UART16550兼容的串行通讯控制器,Verilog语言描述,采用Altera Cyclone系列芯片实现FPGA综合,因为FIFO部分利用到内部资源实现。已经在某项目中成功应用,特此推出。-UART16550 compatible serial communication controller, Verilog language descr iption, the use of Altera Cyclone series FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:10240
    • 提供者:David.Mr.Liu
  1. MAX_II_board_schematics

    0下载:
  2. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:241664
    • 提供者:cody
  1. RS232

    0下载:
  2. 基于VHDL的RS232通讯程序,包含完整的源代码,锁脚文件以及下载文件,可直接下载使用-VHDL based on the RS232 communication procedures, including complete source code, locking pin, as well as download files documents can be directly downloaded using
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:16384
    • 提供者:陈泽涛
  1. zbt_rd_vhdl_str_v1.0.0

    0下载:
  2. ddr2 controller功能控制,里面有四个模块-ddr2 controller functions to control, which has four modules
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1688576
    • 提供者:li ji wei
  1. Terasic_Blaster_Loader

    0下载:
  2. usb-Blaster 93c46烧写工具-usb-Blaster 93c46 programmer tools
  3. 所属分类:USB编程

    • 发布日期:2024-05-15
    • 文件大小:391168
    • 提供者:姜兆刚
  1. altera_modelsim

    0下载:
  2. 比较详细的总结,个人花了一天写的,很好的哟-A more detailed summary, individuals spent a day writing, good yo
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:1274880
    • 提供者:刘国华
  1. pci

    0下载:
  2. altera pci license al tera pci license -altera pci license al tera pci license
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:wang
  1. ug_alt_ufm

    0下载:
  2. ALTERA公司的MAXⅡ系列CPLD的内部flash使用教程,内容很详细,图文并茂,英文版。-ALTERA s MAX Ⅱ series CPLD to use the internal flash tutorial is very detailed, with illustrations in English.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:848896
    • 提供者:blur
  1. FIFO_EMIF

    0下载:
  2. 实现FPGA通过EMIF总线给DSP定期发送数据的功能-FPGA implementation through the EMIF bus regularly send data to the DSP function
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1480704
    • 提供者:徐成发
  1. SPI_IIC_design_example

    0下载:
  2. ALTERA原厂提供的例程,网上很难找到的,在MAX2系列芯片上实现过,VHDL和VERILOG两种语言编写 IIC读写程序-ALTERA provided the original routine, it is difficult to find online and in the MAX2 series chip-off, VHDL and VERILOG two languages
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:394240
    • 提供者:郑康山
  1. Altera_DE1_Training_Courses_Multimedia_Platform.zi

    0下载:
  2. Altera DE1 多媒体平台训练课程 视频教程-Altera DE1 training courses multimedia platform Video tutorial
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:7545856
    • 提供者:xiaoxu
  1. ram

    0下载:
  2. 使用Altera公司的FPGA进行VHDL开发。使用quartus2 9.0软件在EP1C3T144C8开发板上用硬件描述语言实现一个RAM存储器。-The use of Altera' s FPGA-VHDL development. Use quartus2 9.0 software EP1C3T144C8 development board with hardware descr iption language to ach
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:198656
    • 提供者:Daisy
  1. altera_fft

    0下载:
  2. alter官方fft程序 使用verilog编写 需要的同学可以下载-alter the official fft program uses verilog prepared students in need can be downloaded
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:989184
    • 提供者:廖国杰
  1. altera_modelsim

    0下载:
  2. modelsim6.0—altera 详细的教程,肯定能教会你,比网上那些好多了,分享给大家-good!
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:1274880
    • 提供者:陈海涛
« 12 »

源码中国 www.ymcn.org