搜索资源列表

  1. asynfifo

    0下载:
  2. 异步FIFO模块: module asynfifo(rst,iclk,oclk,din,wren,rden,dout,full,empty) 异步FIFO的tenchbench: module tb_asynfifo
  3. 所属分类:操作系统开发

    • 发布日期:2008-10-13
    • 文件大小:1096
    • 提供者:Ericwhu
  1. asynfifo

    0下载:
  2. 异步FIFO模块: module asynfifo(rst,iclk,oclk,din,wren,rden,dout,full,empty) 异步FIFO的tenchbench: module tb_asynfifo -Asynchronous FIFO module: module asynfifo (rst, iclk, oclk, din, wren, rden, dout, full, empty) asyn
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:Ericwhu
  1. asynFifo

    0下载:
  2. 异步fifo在IC设计中,非常重要;是异步时钟域同步方法-Asynchronous fifo in IC design, is very important are asynchronous clock domain synchronization
  3. 所属分类:操作系统开发

    • 发布日期:2024-05-29
    • 文件大小:1024
    • 提供者:leng
  1. asynfifo

    0下载:
  2. 异步fifo,用Verilog编写,包含testbench,已经通过调试,需要的下载-Asynchronous fifo, to prepare to use Verilog, including testbench, debugging has been passed, the need to download
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:25600
    • 提供者:iechshy1985
  1. AsynFIFO

    0下载:
  2. Verilog 代码 异步FIFO,可综合,综合效率高,cumming的经典方法。-Verilog code for asynchronous FIFO, Cumming s the classic method.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:32768
    • 提供者:郑宇龙
  1. Asynfifo

    0下载:
  2. 异步 fifo 编写 详细算法描述 ,算法 , 格雷码 防毛刺-Write asynchronous fifo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-29
    • 文件大小:119808
    • 提供者:陈冬

源码中国 www.ymcn.org