搜索资源列表

  1. buzzer

    0下载:
  2. 蜂鸣器控制,本项目中包括两个设计,一个简单的buzzer控制,一个通过buzzer演奏乐曲“梁祝”的示例
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:103555
    • 提供者:林盈
  1. buzzer

    0下载:
  2. 基于eazyarm2200的UCOs操作系统的移植-eazyarm2200 based on the operating system of transplant UCOs
  3. 所属分类:uCOS开发

    • 发布日期:2024-05-15
    • 文件大小:206848
    • 提供者:杨光
  1. Evsdiskey

    0下载:
  2. 51单片机的键盘扫描程序,当有键盘输入是,将在LED上显示,且蜂鸣器将发出相应的响声.-51 SCM keyboard scanning procedure, when a keyboard is that the LED will show that but buzzer will issue corresponding beep.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:31744
    • 提供者:
  1. pro001_buzzer

    0下载:
  2. 使用FPGA控制蜂鸣器的程序,用Verilog HDL设计,可以是蜂鸣器发出各种不同的声音-FPGA use buzzer control procedures, using Verilog HDL design, it is the buzzer sounded different voices
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:779264
    • 提供者:wpb3dm
  1. BeepPWM_music

    0下载:
  2. 蜂鸣器实验beepmusic(arm7平台)-buzzer experimental beepmusic (ARM7 platform 11
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:23552
    • 提供者:raymond.lu
  1. IO-BEEP

    0下载:
  2. 用I/O口控制蜂鸣器的简单C原码,大家可以下载下来参考,程序简单,易实现。-using I/O population control buzzer sounded simple original C code, you can download the reference procedures are simple and easy to achieve.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:徐阳
  1. music51_1

    0下载:
  2. 51单片机驱动无源蜂鸣器进行音乐输出1 使用了定时器,使用C51编译-51 Microprocessor Passive buzzer for the use of music output of a timer, the use of C51 compiler
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:3072
    • 提供者:
  1. buzzer

    0下载:
  2. 蜂鸣器控制,本项目中包括两个设计,一个简单的buzzer控制,一个通过buzzer演奏乐曲“梁祝”的示例 -Buzzer control, this project includes two designs, a simple control buzzer, a buzzer play music through the
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-15
    • 文件大小:103424
    • 提供者:林盈
  1. beep

    0下载:
  2. 对单片机蜂鸣器进行操作,可以通过输入不同的频率值模拟音乐播放的效果。-Buzzer operate on single-chip, you can enter a different frequency value simulated the effect of music players.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:宋宇宁
  1. buzzer

    0下载:
  2. 这是ARM7的一个蜂鸣器的驱动程序,可以驱动蜂鸣器的响,可以用ARM开发板的报警电路的驱动。-This is an ARM7 buzzer driver can drive the ring buzzer can be used to ARM development board alarm driver circuit.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-15
    • 文件大小:1024
    • 提供者:张海霞
  1. BUZZER

    0下载:
  2. win ce 和2410的蜂鸣器驱动,使用TIMER0-win ce and 2410 of the buzzer driver, use the Timer0
  3. 所属分类:Windows CE

    • 发布日期:2024-05-15
    • 文件大小:14336
    • 提供者:梁雨枫
  1. buzzer

    0下载:
  2. 单片机控制蜂鸣器,产生电子音乐的一些资料,能提高学习单片机的兴趣-SCM buzzer to produce electronic music of some of the information that can enhance the learning interest in SCM
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:916480
    • 提供者:吴鸿
  1. buzzer

    0下载:
  2. 应用蜂鸣器的工作原理,在不同的高低电平变化时,发出和弦音乐。附有音符的解释。-The working principle of the application buzzer in different high-low-level changes, issued polyphonic music. A note of explanation.
  3. 所属分类:多媒体

    • 发布日期:2024-05-15
    • 文件大小:16384
    • 提供者:jia
  1. BUZZER

    0下载:
  2. Interfacing of buzzer with avr
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-15
    • 文件大小:17408
    • 提供者:KP
  1. buzzer

    0下载:
  2. 用Verilog HDL写得能给蜂鸣器输出‘哆、唻、米、发、嗦、啦、稀、哆(高音)’声调的程序-Buzzer to give written using Verilog HDL output ' duo, Lai ... ...' tone of the program
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:446464
    • 提供者:刘月
  1. BUZZER

    0下载:
  2. 基于MSP430的蜂鸣器C程序,蜂鸣器可以发出不同频率的声音,充分利用MSP430特有低功耗特性-MSP430 C program based on the buzzer, the buzzer sounds at different frequencies could be made full use of MSP430-specific low power consumption
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:20480
    • 提供者:付小虎
  1. buzzer

    0下载:
  2. 蜂鸣器的设计,对当学单片机的人会很有用的-Buzzer of design, when the MCU will learn useful
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-15
    • 文件大小:242688
    • 提供者:linxing
  1. 字符设备控制之buzzer蜂鸣器

    0下载:
  2. arm开发板字符设备测试蜂鸣器,区别于裸机测试。(arm development board test buzzer)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:269312
    • 提供者:TakLu
  1. Buzzer

    0下载:
  2. 采用verilo语言编写的蜂鸣器,可用ISE软件来试实现(Buzzer written in verilo language, available ISE software to try to achieve)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-15
    • 文件大小:146432
    • 提供者:唐123123
  1. BUZZER蜂鸣器

    0下载:
  2. MSP430F5529 buzzer蜂鸣器主程序(MSP430F5529 buzzer master program)
  3. 所属分类:其他小程序

    • 发布日期:2024-05-15
    • 文件大小:53248
    • 提供者:123321456
« 12 3 4 5 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org