搜索资源列表

  1. clk

    0下载:
  2. 时钟发生器 clkgen 利用外来时钟信号clk 来生成一系列时钟信号clk1、fetch、alu_clk 送往CPU的其他部件
  3. 所属分类:WEB源码

    • 发布日期:2008-10-13
    • 文件大小:1224
    • 提供者:王晨磊
  1. clk

    0下载:
  2. c5000系列的clk程序,希望对大家有所帮助
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:60303
    • 提供者:wanglijia
  1. clk_div

    0下载:
  2. VERILOG实现多时钟,可以应用于流水线.输入CLK,输出CLK1,CLK2,CLK3-Verilog realize multi-clock, can be applied to assembly line. Input CLK, the output CLK1, CLK2, CLK3
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:kaimen
  1. clk

    0下载:
  2. 时钟发生器 clkgen 利用外来时钟信号clk 来生成一系列时钟信号clk1、fetch、alu_clk 送往CPU的其他部件-Clock Generator clkgen use of external clock signal clk to generate a series of clock signal clk1, fetch, alu_clk sent to other parts of the CPU
  3. 所属分类:文档资料

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:王晨磊
  1. clk

    0下载:
  2. c5000系列的clk程序,希望对大家有所帮助-c5000 series clk procedures, and they hope to help everyone
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:88064
    • 提供者:wanglijia
  1. clk

    0下载:
  2. just division the clock into 2
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:24576
    • 提供者:zhuning
  1. 9600divider

    0下载:
  2. 任意分频器,可以实现FPGA的CLK分频功能,已通过编译-Arbitrary frequency divider can be achieved FPGA-CLK sub-band capabilities, has passed the compilation
  3. 所属分类:串口编程

    • 发布日期:2024-06-11
    • 文件大小:195584
    • 提供者:liujieyu
  1. clk_divider

    0下载:
  2. Simple Clk Divider for FPGA design in Verilog -Simple Clk Divider for FPGA design in Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:h_j_tel
  1. clk

    0下载:
  2. Verilog HDL clk 带延迟的时钟,对于处理时钟同步问题有益-Verilog HDL clk
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:9216
    • 提供者:
  1. msp430x54x-01-CLK

    0下载:
  2. MSP430 F5 系列 时钟配置,网上的基本都是F1系列的-MSP430 F5 CLK setting
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:11264
    • 提供者:陈武
  1. clk

    0下载:
  2. DSK6455上的关于clk的程序,帮组你很好的学习DSp-clk for DSK6455
  3. 所属分类:DSP编程

    • 发布日期:2024-06-11
    • 文件大小:136192
    • 提供者:hushu
  1. clk

    0下载:
  2. 此程序句有多个clk去控制数据的传输,由于两个时钟不同,需要去经协调-iclk oclk
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:912384
    • 提供者:陈利锋
  1. CLK

    0下载:
  2. ARM7系列中的LPC2132对CLK得设置以及一些小示例程序,都是开发板自带程序。-The LPC2132 ARM7 family CLK have set as well as some small sample programs are to develop board comes procedures.
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-11
    • 文件大小:337920
    • 提供者:何鹏兵
  1. digital-clk

    0下载:
  2. 微机接口与原理的时钟实验 带显示 和输入-CLK 8086
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-11
    • 文件大小:385024
    • 提供者:hhj
  1. CLK

    0下载:
  2. QuartusII平台verilog语言实现的CLK下降沿测试-CLK falling edge QuartusII platform
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-11
    • 文件大小:3072
    • 提供者:FantasyDR
  1. clk

    0下载:
  2. counting clk,, which count in increasing order..
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-06-11
    • 文件大小:7168
    • 提供者:Palwinder
  1. clk

    0下载:
  2. general ColdFire CPU kernel clk handling
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:yongwingji
  1. ADC0808-CLK-PULSE

    0下载:
  2. ADC0808与单片机的连接中,在延时函数中实现输出CLK脉冲,就可以既不用外接硬件,也不占用单片机本身的硬件资源,同样也达到了延时目的,可谓一举多得-ADC0808 with microcontroller connection, the delay function to achieve the output CLK pulse, you can either use an external hardware, nor hardwa
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-11
    • 文件大小:76800
    • 提供者:陈贺
  1. clk-periph

    0下载:
  2. clk periph get parent for Linux v2.13.6.
  3. 所属分类:Linux/Unix编程

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:berbinfu
  1. clk-periph

    0下载:
  2. clk periph get parent for Linux v2.13.6.
  3. 所属分类:游戏

    • 发布日期:2024-06-11
    • 文件大小:1024
    • 提供者:dsghhbnrjti
« 12 3 4 5 6 7 8 9 10 ... 35 »

源码中国 www.ymcn.org