搜索资源列表

  1. DDR_Eye_Patterns

    0下载:
  2. DDR1 DDR2 DDR3眼图分析。本文根据自己设计的DDR“读”“写”分离软件,介绍一种把“读”眼图和“写”眼 图分离开的方法,并创新地引入模板测试的方法。-DDR1 DDR2 DDR3 Eye Patterns
  3. 所属分类:软件工程

    • 发布日期:2024-06-03
    • 文件大小:648192
    • 提供者:邓奇勋
  1. ddr_top

    0下载:
  2. verilog语言ddr3读写程序,axi总线协议,用于ddr3读写测试-ddr3 read and write
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-03
    • 文件大小:2048
    • 提供者:冯鲲鹏
  1. MB_DDR3

    0下载:
  2. 利用Spartan6的软核MicroBlaze来测试读写DDR3的历程。利用官方提供的函数以及实时查看内存来验证DDR3的读写是否成功-Use of Spartan soft-core MicroBlaze 6 to test reading and writing DDR3 course. The use of official functions and real-time view to validate DDR3 memory
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-03
    • 文件大小:12407808
    • 提供者:孟凡良
  1. ddr3_verilog

    0下载:
  2. DDR3读写在FPGA上的实现代码,经测试通过-DDR3 read and write FPGA implementation of the code, the test passed
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-03
    • 文件大小:7238656
    • 提供者:tengdaizhou
  1. DDR3_SDRAM

    0下载:
  2. ddr3 sdram 功能测试。读写测试还有自刷新测试,测试通过。-ddr3 sdram test,write and read ,aoturefresh
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-03
    • 文件大小:4096
    • 提供者:haoluo
  1. DDR3读写测试

    0下载:
  2. MIG IP控制DDR3读写测试,于MIG IP核用户接口时序较复杂,这里给出扩展接口模块用于进一步简化接口时序。(MIG IP controls DDR3 reading and writing tests, and the time sequence of MIG IP kernel user interface is more complex.)
  3. 所属分类:软件工程

    • 发布日期:2024-06-03
    • 文件大小:798720
    • 提供者:陈先森你好
  1. ddr3

    0下载:
  2. ALINX7010 ddr3读写测试仿真实验官方教程 附说明和代码 Vivado 实现(Alinx7010 DDR3 read write test simulation experiment official course Descr iption and code attached Vivado implementation)
  3. 所属分类:汇编语言

    • 发布日期:2024-06-03
    • 文件大小:3036160
    • 提供者:心素如简

源码中国 www.ymcn.org