搜索资源列表

  1. DEBOUNCE

    0下载:
  2. 一个小程序,弹跳消除电路,可消除按健的毛刺干扰-a small procedure, bouncing elimination circuit, according to remove the burr-interference
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:相耀
  1. Keydeb

    0下载:
  2. * KeyDebounce Accept new key reading, handle timing for debounce & slew * KeyId Report which key is currently pressed * KeySlewTimeSet Accept slew time for key currently pressed -KeyDebounce* Accept new key read
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-06-04
    • 文件大小:3072
    • 提供者:Qu chun lei
  1. debounce

    0下载:
  2. 基于VHDL的键盘去抖动电路 基于VHDL的键盘去抖动电路-VHDL-based keyboard to jitter circuit VHDL-based keyboard to jitter circuit
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:288768
    • 提供者:叶金伟
  1. debounce

    0下载:
  2. 键盘防抖程序设计,模块化程序;不得不看的好程序,好家伙。-Reduction keyboard programming, modular procedures had a good look at procedures, Goodfellas.
  3. 所属分类:操作系统开发

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:吴少
  1. debounce

    0下载:
  2. 按键消抖程序,用Verilog硬件描述语言编写,实现了按键消抖动作-Buffeting eliminate key procedures, using Verilog hardware descr iption language, the realization of the keys for jitter elimination
  3. 所属分类:中间件编程

    • 发布日期:2024-06-04
    • 文件大小:121856
    • 提供者:liujiani
  1. Switch_debounce

    0下载:
  2. Switch Debounce for Keypad and Button press based designs
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:Dhi
  1. Debouncer_Ver2

    0下载:
  2. super fast debounce button on vhdl, xilinx xc
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:Terente
  1. fpga_debounce_filter

    0下载:
  2. fpga debounce filter code in vhdl
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:39936
    • 提供者:lyle
  1. debounce

    0下载:
  2. a key debounce logic using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:101376
    • 提供者:tg
  1. Keydebounce

    0下载:
  2. this the program for keypad debounce in P89c51 microcontroller kit-this is the program for keypad debounce in P89c51 microcontroller kit
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:srikanth
  1. sw_debounce

    0下载:
  2. 这是按键消抖试验,具有很好的可移植性。在EPM240开发板上验证过的-This is the key debounce test, with good portability. In the development board verified EPM240
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:吴敬飞
  1. cwiczenie34

    0下载:
  2. debounce on spartan 3a krecenie galka
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-06-04
    • 文件大小:315392
    • 提供者:mateusz
  1. vhdl

    0下载:
  2. 键盘去抖,电子密码锁,键盘输入去抖vhdl语言程序-Keyboard debounce
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:4096
    • 提供者:范萍伟
  1. Key-debounce

    0下载:
  2. 键盘消除抖动,在CPLD的开发板上实现键盘消抖的程序代码-Key debounce
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:34816
    • 提供者:Jingeliang17
  1. verilog-button-debounce

    0下载:
  2. verilog 3种方法实现毛刺干扰的消除,借助按键防抖的思想-verilog 3 ways to achieve the glitch elimination, anti-shake with the key ideas
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:2048
    • 提供者:小小
  1. debounce

    0下载:
  2. Switch debounce unit (written in VHDL).
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:20480
    • 提供者:
  1. Timer-key-debounce

    0下载:
  2. 通过定时器定时来给按键消抖,每隔一段时间进行一次定时中断。-Timer key debounce
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-06-04
    • 文件大小:1024
    • 提供者:杨光
  1. debounce

    0下载:
  2. 用Verilog实现的消抖程序的例子,用Verilog实现的消抖程序的例子-Verilog debounce
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:7528448
    • 提供者:下一个雨天
  1. Key-debounce-display

    0下载:
  2. 按键通过状态机消抖,通过数码管将值显示出来。已经调试好,可直接用-Key debounce state machine, by the value of the digital display. Debugging has been good, can be directly used
  3. 所属分类:DNA

    • 发布日期:2024-06-04
    • 文件大小:547840
    • 提供者:龙源
  1. MICROCHIP程序实例-debounce按键消抖

    0下载:
  2. MICROCHIP程序实例-debounce按键消抖(Microchip Program instance-debounce button Shake)
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-04
    • 文件大小:101376
    • 提供者:zhanghongshuai
« 12 3 4 5 6 7 8 9 10 »

源码中国 www.ymcn.org