搜索资源列表

  1. dec3_8

    0下载:
  2. 有VHDL写的一个38译码器,并付仿真波形.-VHDL has written a decoder 38, and pay the simulation waveform.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-04
    • 文件大小:57344
    • 提供者:陈阿水
  1. 1

    0下载:
  2. 加减计数器 library ieee use ieee. std_logic-_1164.all entity dec3_8 is port(a,b,c,s1,s2,s3: in std_logic y: out std_logic_vector(0 to 7)) end architecture b of dec3_8 is si
  3. 所属分类:汇编语言

    • 发布日期:2024-05-04
    • 文件大小:1024
    • 提供者:镜辰

源码中国 www.ymcn.org