搜索资源列表

  1. diantiVHDL

    0下载:
  2. 本例是一个6层电梯的控制系统,VHDL原程序,状态机,控制器
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:162735
    • 提供者:liujingyang
  1. diantiVHDL

    0下载:
  2. 本例是一个6层电梯的控制系统,VHDL原程序,状态机,控制器-This case is a 6-storey elevator control system, VHDL original procedures, state machine, controller
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:162816
    • 提供者:liujingyang
  1. dianti

    0下载:
  2. 用verilog写的电梯控制器内附测试文件和实验报告 -Use verilog to write elevator controller with the test documentation and test reports
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:668672
    • 提供者:黎德才
  1. diantivhdl

    0下载:
  2. 电梯的vhdl设计,6层楼含开关门,警报,内部请求,外部请求-Vhdl elevator design, six floors with switch doors, alarm, internal requests and external requests
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-11
    • 文件大小:162816
    • 提供者:卢宇

源码中国 www.ymcn.org