搜索资源列表

  1. dff_UDP

    0下载:
  2. verilog实现,UDP描述带有异步复位的正边沿触发D触发器,test测试通过-verilog achieve, UDP asynchronous reset with a descr iption of the fringe is triggered D flip-flop, test test pass
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:
  1. Ddelay

    0下载:
  2. 在Quartus下使用D触发器来加入延迟,每个D触发器增加半个周期的延迟,稍加更改可以得到不同的延迟。-In Quartus using D flip-flop to join the delay, each D flip-flop raised a half-cycle delay, a little change can be a different delay.
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-16
    • 文件大小:377856
    • 提供者:桃子
  1. djkrs

    0下载:
  2. d,jk,rs触发器的vhdl语言实现,简单明了-d, jk, rs flip-flop of the VHDL language, simple and clear
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:70656
    • 提供者:周军
  1. register

    0下载:
  2. 32×32的寄存器堆,它有32个32位的寄存器、两个读端口和一个写端口。该寄存器堆由3个层次共5个模块构成,最低层次的模块是D触发器,中间层次的模块包括32位寄存器、5位地址译码器、32选1多路选通器,顶层模块是寄存器堆模块。设计采用行为建模和结构建模相结合的方法,先用行为建模方法建立低层模块,然后再用结构建模方法搭建高层模块。-32 × 32 of the register file, it has 32 32-bit registe
  3. 所属分类:GIS编程

    • 发布日期:2024-05-16
    • 文件大小:4096
    • 提供者:
  1. bhgfdti

    0下载:
  2. 含有七人表决器,格雷码变换电路,英文字符显示电路,基本触发器(D和JK),74LS160计数器功能模块,步长可变的加减计数器-Containing seven people vote, and Gray code conversion circuit, the English characters display circuit, the basic flip-flop (D and JK), 74LS160 counter funct
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:423936
    • 提供者:俞皓尹
  1. dcdlab3

    0下载:
  2. 数字部件设计,verylog,实现用D-FLIP FLOP 实现计数器功能。-Digital Component Design, verylog, using D-FLIP FLOP realize Counter.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:263168
    • 提供者:林玲
  1. jk-ff

    0下载:
  2. j-k flip flop implementation in XCS2-j-k flip flop implementation in XCS200
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:15360
    • 提供者:Amirali
  1. jkff

    0下载:
  2. JK flip-flop is implemented using VHDL
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:39936
    • 提供者:nik
  1. vhdl_jk

    0下载:
  2. 本程序通过使用vhdl语言描述JK触发器,实现了JK触发器的四个工作状态,进而我们可以将其应用到其他使用JK触发器的电路中-The procedure by using vhdl language to describe the JK flip-flop, JK flip-flop realized the four working state, then we can apply it to others using the JK f
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:201728
    • 提供者:刘轶龙
  1. rs_1

    0下载:
  2. rs触发器的设计,是用vhdl实现的,欢迎下载。-rs flip-flop design is achieved using vhdl.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:21504
    • 提供者:Mr zhang
  1. hdl

    0下载:
  2. cnt_top,It is used to realize a D flip flop. it is written with verilog.
  3. 所属分类:多媒体

    • 发布日期:2024-05-16
    • 文件大小:2048
    • 提供者:lzqqqppp
  1. DtoJK

    0下载:
  2. Using an edge triggered D flip-flop to implement a JK flip-flop
  3. 所属分类:Windows编程

  1. 5

    0下载:
  2. Code for JK flip flop and SR flip flop
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:D S Manjunath
  1. vhdl

    0下载:
  2. 包括一个8位D触发器、一个jk触发器、一个10的计数器。适合初学者和开发人员-Including an 8-bit D flip-flop, a jk flip-flop, a 10-counter. Suitable for beginners and developers
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:1024
    • 提供者:龚成
  1. cnt8

    0下载:
  2. 用JK-flip-flop做的8进制counter-mod-8-counter
  3. 所属分类:其他小程序

    • 发布日期:2024-05-16
    • 文件大小:385024
    • 提供者:suhang
  1. srandDflipflop

    0下载:
  2. this project is based on sr and d flip flop using vhdl.this is the 100 correct code,reference is taken from book digital electrionics written by anand kumar.please use quatrus to access this code.this code can be used fo
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:205824
    • 提供者:jatab
  1. Latch_n_Flip-flop

    0下载:
  2. Introduction for latch and flip-flop.-latch and flip-flop is describe in this word file.
  3. 所属分类:Windows编程

    • 发布日期:2024-05-16
    • 文件大小:166912
    • 提供者:anthonykang
  1. 74hc74

    0下载:
  2. 带使能和清零端的D触发器,Verilog实现,有实验说明文档。-With a clear end to enable and D flip-flop, Verilog implementation, there is experimental documentation.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:316416
    • 提供者:mypudn0001
  1. D_latch

    0下载:
  2. 周立功 ACTEl FPGA做的一个D触发器程序-ZLG ACTEl FPGA program to do a D flip-flop
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-16
    • 文件大小:770048
    • 提供者:张金
  1. count10

    0下载:
  2. 十进制计数器 自己尝试编辑的,可以-jk flip-flop, try to edit their own, using state machine to achieve, you can-Decimal counter his attempt to edit, and can-jk flip-flop, try to edit their own, using state machine to achieve, you can
  3. 所属分类:FlashMX源码

    • 发布日期:2024-05-16
    • 文件大小:106496
    • 提供者:liu jian ming
« 12 3 4 5 6 7 8 9 10 ... 21 »

源码中国 www.ymcn.org