搜索资源列表

  1. fpga-jpeg-verilog

    0下载:
  2. fpga-jpeg-verilog在fpga平台使用verilog语言进行jpeg算法实现-fpga-jpeg-verilog FPGA platform used in the Verilog language Algorithm jpeg
  3. 所属分类:其他嵌入式/单片机内容

    • 发布日期:2024-05-05
    • 文件大小:104448
    • 提供者:yang
  1. fpga

    0下载:
  2. fpga的一些经验之谈,对初学者比较有用,都是些容易出错误的地方-FPGA some experiences, more useful for beginners, are more vulnerable to the wrong place
  3. 所属分类:文档资料

    • 发布日期:2024-05-05
    • 文件大小:966656
    • 提供者:12
  1. FPGA+DSS+UART

    0下载:
  2. 用FPGA实现任意波形发生器的源代码,另外还包括FPGA实现UART,从而与MCU实现串行通信。-Using FPGA to achieve arbitrary waveform generator of the source code, including the FPGA also realize UART, in order to realize serial communication with the MCU.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:zhuangxb
  1. FPGA-1553B

    1下载:
  2. MIL-STD一1553B是一种集中控制式、时分指令/响应型多路串行数据总线标 准,具有高可靠性和灵活性,已经成为现代航空机载系统设备互联的最有效的解 决方案,广泛的应用于飞机、舰船、坦克等武器平台上,并且越来越多的应用到 民用领域。完成1553B总线数据传输功能的关键部件是总线接口芯片11][41。 在对M几STD一1553B数据总线协议进行研究后,参考国外一些芯片的功能结 构,结合EDA技术,本论文提出了基于FP
  3. 所属分类:编程文档

    • 发布日期:2024-05-05
    • 文件大小:4260864
    • 提供者:xmuyfng
  1. FPGA

    0下载:
  2. 基于ALTERA的FPGA系统以太网通讯规约-ALTERA s FPGA-based Ethernet communications system Statute
  3. 所属分类:编程文档

    • 发布日期:2024-05-05
    • 文件大小:287744
    • 提供者:李马克
  1. FPGA-jiangyi

    0下载:
  2. FPGA的很好讲义,对于初学者学习FPGA的相关知识具有较好效果.-FPGA good lectures, for beginners to learn the relevant knowledge FPGA with good results.
  3. 所属分类:电子书籍

    • 发布日期:2024-05-05
    • 文件大小:2068480
    • 提供者:方军
  1. FPGA

    0下载:
  2. 自己设计的Smartcard功能模块,已经通过vcs仿真和FPGA验证,可以使用。-Smartcard functionality of their own design module, has passed vcs simulation and FPGA verification, you can use.
  3. 所属分类:电子书籍

    • 发布日期:2024-05-05
    • 文件大小:16384
    • 提供者:君懿
  1. fpga

    0下载:
  2. fpga功能实现有限字长响应FIR 用verilog编写-FPGA functionality in response to the realization of finite word-length FIR prepared using Verilog
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:139264
    • 提供者:吴务
  1. FPGA

    0下载:
  2. 本文档是对关于fpga的一些基础问题的解答,很适合于fpga初学者-This document is on the basis of FPGA some questions, it is suitable for beginners FPGA
  3. 所属分类:技术管理

    • 发布日期:2024-05-05
    • 文件大小:8192
    • 提供者:伍良才
  1. FPGA

    0下载:
  2. 系统应用FPGA技术,通过VHDL编程,在CPLD上实现。电子琴的基本原理是产生各个音符对应的频率,将频率放大后驱动喇叭发出音响。该电子琴包括手动弹奏与自动演奏两种功能,其中手动弹奏时还可录音回放。文中叙述了电子琴的设计原理和分块实现的方法,详细介绍各模块的设计及模块之间的连接组合方法,还包括电子琴的使用说明。-System FPGA technology, the adoption of VHDL programming, to ac
  3. 所属分类:软件工程

    • 发布日期:2024-05-05
    • 文件大小:49152
    • 提供者:严术骞
  1. uart.core.for.FPGA

    0下载:
  2. 一个UART的FPGA core,附有详细的代码阅读笔记-A UART of the FPGA core, accompanied by a detailed code of reading notes
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:614400
    • 提供者:获得
  1. FPGA

    0下载:
  2. 几篇关于FPGA做视频项目论文,希望对大家有帮助-FPGA to do a few video projects on paper, in the hope that everyone has to help
  3. 所属分类:软件工程

    • 发布日期:2024-05-05
    • 文件大小:552960
    • 提供者:hbsun
  1. fpga

    0下载:
  2. 基于PXA270-S linux的FPGA实现。 向LED_CONTROL写入n即得到n*0.1S的延时,LED闪烁的快慢程度发生变化。-Based on the PXA270-S linux realize the FPGA. LED_CONTROL write n to get n* 0.1S delay, LED blinking speed of the extent of change.
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-05
    • 文件大小:3072
    • 提供者:徐亚雪
  1. FPGA-SD

    0下载:
  2. 基于FPGA的SD转换器的设计与实现,已经在实际项目中测试过,完全OK!-Conversion of SD-based FPGA Design and Implementation, has been tested in actual projects, and completely OK!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-05
    • 文件大小:132096
    • 提供者:laozhang
  1. FPGA

    0下载:
  2. FPGA中的冒险现象,降低fpga的稳定性和功耗-FPGA adventure in the phenomenon, reducing the stability and power FPGA
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:53248
    • 提供者:zhangge
  1. fpga-2

    0下载:
  2. 这是我写的一个关于fpga verilog的程序希望有对初学着有帮助
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:103424
    • 提供者:甘同同
  1. FPGA-Ethernet-video

    0下载:
  2. 介绍如何用FPGA实现网络视频传输的设计论文,很有参考价值。-Introduce how to realize the network video transmission FPGA design papers, a good reference.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:190464
    • 提供者:曾祥进
  1. FPGA

    0下载:
  2. FPGA培训教程,非常详细,适合初学者学习,EDA系列-FPGA training course, very detailed, suitable for beginners to learn, EDA Series
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:5384192
    • 提供者:张蔚
  1. FPGA

    0下载:
  2. FPGA设计全流程:Modelsim>>Synplify.Pro>>ISE 第一章 Modelsim编译Xilinx库 第二章 调用Xilinx CORE-Generator 第三章 使用Synplify.Pro综合HDL和内核 第四章 综合后的项目执行 第五章 不同类型结构的仿真-FPGA design of the whole process: Modelsim>> Synpl
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-05
    • 文件大小:218112
    • 提供者:青岚之风
  1. FPGA

    0下载:
  2. 一个很好的对不同FPGA时芯片的选择资料,对你的设计很有帮助-A good time for different FPGA chip select information helpful for your design
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-05
    • 文件大小:2048
    • 提供者:陈东同
« 1 2 3 4 56 7 8 9 10 ... 50 »

源码中国 www.ymcn.org