搜索资源列表

  1. HDB3

    0下载:
  2. HDB3编码源程序,实现该编码的程序,编码源程序。vc++环境的。-hdb3 bian ma yuan cheng xu.shi xian hdb3 de bian ma .ji yu vc++ shi yan huan jing de cheng xu.
  3. 所属分类:中间件编程

    • 发布日期:2024-06-01
    • 文件大小:271360
    • 提供者:麻麻
  1. hdbn_latest.tar

    0下载:
  2. hdbn编解码电路源码,可完成HDBN到TTL数据的转换,遵循ITU G.703规范-HDBN ENDOCE DECODE CIRCULT VERILOG SOURCE
  3. 所属分类:邮电通讯系统

    • 发布日期:2024-06-01
    • 文件大小:199680
    • 提供者:ys
  1. hdbn

    0下载:
  2. vhdl语言实现hdb3编码,也可就行hdb2编码,综合后实现hdb3编码的硬件实现-vhdl language hdb3 coding, also may line hdb2 code, after the realization of integrated hardware encoding hdb3
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:185344
    • 提供者:彭军伟
  1. hdbn

    0下载:
  2. HDBN VHDL Project includes hdb3 & hdbn
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:198656
    • 提供者:ebi
  1. hdbn

    0下载:
  2. This “core” is actually two cores – an HDB3/HDB2/B3ZS Encoder that converts NRZ data into P and N pulses according to ITU-T G.703, and a HDB3/HDB2/B3ZS Decoder that converts P and N pulses into NRZ data according to
  3. 所属分类:VHDL编程

    • 发布日期:2024-06-01
    • 文件大小:9216
    • 提供者:fronders

源码中国 www.ymcn.org