搜索资源列表

  1. 至简设计法--按键消抖

    0下载:
  2. 按键消抖 工程说明 在系统设计中,消除按键抖动的方法五花八门,无论是硬件电路和软件设计都十分成熟。在本项目中,我们将用Verilog语言给出具体实现过程,设计一个程序来检查键值,有效滤除按键抖动区间20 ms的毛刺脉冲。 案例补充说明 在本案例中,我们使用Verilog HDL语言对按键消抖进行了设计,在这个过程中,我们可以了解到不同触发器有不同的工作原理和约束条件,即便是简单的一个按键功能,也有不可忽视的抖动过滤程序,这些都是在以后
  3. 所属分类:其他小程序

  1. VerilogHDL的135个经典设计实例

    0下载:
  2. Verilog HDL编程设计学习程序例子,含详细说明(Verilog HDL programming design learning examples, including detailed descr iption)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:157696
    • 提供者:斯文小卡
  1. FPGA与SPI接口程序(hdl源代码)

    0下载:
  2. FPGA,VERILOG,SPI串口通信;(FPGA,VERILOG,SPI;;;;;;;;;)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:2048
    • 提供者:dl121
  1. AD_FPGA_DSP

    0下载:
  2. 使用FPGA(alteral 类型的飓风四代)控制ADS8364进行数据的采集。但是运行后,运行结果显示会有数据乱窜现象,希望不是程序的问题。(provide a program (writing with Verilog HDL language) to control ADS8364 with FPGA.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:7325696
    • 提供者:冰棍儿
  1. CAR_LI2

    0下载:
  2. DE1-SOC实验开发板和Verilog HDL语言的交互式程序作品,选择避障小车作为课程设计题目,并根据选题制定了如下设计需求: 1.能实现基本的避障小车功能,即躲避障碍,变速,计分,计时显示游戏开始、进行和结束画面; 2.能实现人机交互功能,玩家可通过外接键盘或DE1-SOC开发板自带按键和开关操作小车转向; 3.能通过VGA在显示屏中显示,并且能达到5Hz的刷新频率; 4.能实现自定义小车和障碍物皮肤的功能;(DE1-SO
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:21147648
    • 提供者:Sven111
  1. lab1

    0下载:
  2. 使用verilog HDL语言在FPGA上面实现LED闪烁控制,入门的一个基本程序(LED Scintillation control)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4493312
    • 提供者:victorfan2017
  1. haming

    0下载:
  2. 汉明码编解码程序,便于初学者掌握verilog HDL语言的组合逻辑电路设计原则(Hamming code encoding and decoding program is easy for beginners to master the design principles of combinational logic circuits of Verilog HDL language.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4176896
    • 提供者:victorfan2017
  1. cntCode

    0下载:
  2. 通用计数器程序,便于初学者掌握verilog HDL语言的进行计数器设计原则(General counter program is easy for beginners to master the counter design principles of Verilog HDL language.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4207616
    • 提供者:victorfan2017
  1. music

    0下载:
  2. 乐曲播放程序,便于初学者掌握verilog HDL语言的进行数控分频器的设计和使用(The music player program is easy for beginners to master the design and use of Verilog HDL language.)
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:796672
    • 提供者:victorfan2017
  1. total

    0下载:
  2. 综合应用程序,包括VGA显示,温度测量等,便于初学者掌握使用verilog HDL语言的进行综合设计和使用(Comprehensive application program, including VGA display, temperature measurement and so on, is easy for beginners to master and use Verilog HDL language for comprehe
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1138688
    • 提供者:victorfan2017
  1. jiaotongdeng_fuza

    0下载:
  2. 本文基于FPGA技术的发展和Quartus II开发平台,实现路*通灯控制器是一种解决方案。使用Verilog HDL硬件描述语言来描述语言程序的分频器模块,控制模块,数据解析模块,显示译码模块和段选位选模块,五个模块,并通过各个模块程序之间的端口合理连接和协调,成功设计出交通信号灯控制电路。在Quartus II环境下模拟,生成顶层文件下载后,在FPGA EP2C5Q208器件进行验证。(Based on the developm
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:5611520
    • 提供者:威威谈谈
  1. fifo

    0下载:
  2. Verilog HDL实现通用的FIFO的一个demo,可以参考这个程序根据自己的需求更改深度和宽度,以及标志位(Verilog HDL implements a demo of a generic FIFO that you can refer to to to change the depth and width, as well as the flag bits, depending on your needs)
  3. 所属分类:传真(Fax)编程

    • 发布日期:2024-05-22
    • 文件大小:4649984
    • 提供者:gankl
  1. 8bit-freqDetect

    0下载:
  2. 题目1:设计一个8位数字显示的简易频率计。要求: ①能够测试10Hz~10MHz方波信号; ②电路输入的基准时钟为1Hz,要求测量值以8421BCD码形式输出; ③系统有复位键; ④采用分层次分模块的方法,用Verilog HDL进行设计。 ⑤写出测试仿真程序(Topic 1: Design a simple frequency meter with 8 digits display. Requirement: It can test
  3. 所属分类:其他小程序

    • 发布日期:2019-06-20
    • 文件大小:140288
    • 提供者:鹏jjjjj
« 1 2 ... 27 28 29 30 31 32»

源码中国 www.ymcn.org