搜索资源列表

  1. KeyPad

    0下载:
  2. pxa270矩阵键盘的驱动代码,具有很强的参考价值-PXA270 matrix keyboard driver code, has a strong reference value
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-17
    • 文件大小:399360
    • 提供者:钱锋
  1. readermodule

    0下载:
  2. Use this program to decode the Manchester Code of the RFID. Validate the accessibility of detected card. Including a keypad controller, generally a all in one card access reader.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:201728
    • 提供者:谢国权
  1. FiremanIntercom

    0下载:
  2. A huge program that consist of polling mechanism of a main controller to 32 slave in a fireman intercom environment. Including the handset condition detection, LCD controller, keypad controller, interrupt handling and AD
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:706560
    • 提供者:谢国权
  1. SUCCESS

    0下载:
  2. 本课题要求在研究PC机键盘通信协议的基础上,设计一个89C51单片机为核心的包含常用键的标准PC小键盘,并且带有液晶显示屏LCD,使得键盘除能用于各类PC机外,还能进行一些相关字符或参数显示,并且具有体积小巧、便于布放、灵活多用等特点。这样可以形成一个标准实用的应用产品,使系统既能做PC键盘,又能直接进行一些现场操作控制及相关显示,同时还能做其它功能扩充,如现场温度监测、语音播报等。-Requirements of this subje
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:尽无哀
  1. vk_map

    0下载:
  2. Keypad Driver for window mobile source code
  3. 所属分类:驱动编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:wei
  1. boxingfashengqi

    0下载:
  2. 用DAC0832产生锯齿波、三角波我们鉴于实现了基本功能的基础上,扩展了使频率可调,调频范围为1—9999Hz。我们采用3*4键盘作为产生0—9的数字以及2个功能键(选择三角波和锯齿波-DAC0832 generated by sawtooth, triangle wave, we realize the basic functions of the light on the basis of the expanded so that
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:4096
    • 提供者:
  1. S3C241X_CE60

    0下载:
  2. Samsung 241x Windows CE 6.0 BSP, include touch panel driver, display driver, OAL, EBOOT, Keypad driver
  3. 所属分类:Windows CE

    • 发布日期:2024-05-17
    • 文件大小:381952
    • 提供者:jj
  1. 4x4cjianpan

    0下载:
  2. 4×4键盘完美扫描程序,方便、简洁。具体为矩阵是键盘,请各位高手多多指教。-4 × 4 keypad scanner perfect, convenient and simple. Specific for the matrix is the keyboard, called upon to master the exhibitions.
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:刘东波
  1. 2410_KeyPad_Drv

    0下载:
  2. Sam sung2410 Wince的修改过的Keypad driver.给初学者上课用的,简单好入门-Sam sung2410 Wince s modified Keypad driver. To the beginners class with simple good entry
  3. 所属分类:Windows CE

    • 发布日期:2024-05-17
    • 文件大小:5120
    • 提供者:小贱
  1. keypad

    0下载:
  2. 适用于WINBOND W90N745 CPU的按键测试程序-WINBOND W90N745 CPU applies to the key test procedures
  3. 所属分类:嵌入式Linux

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:sx
  1. Keypad

    0下载:
  2. 一个通用嵌入式产品按键处理程序,包含去抖,快进键,长按键,可方便的做成各种组合键。-A generic product keys embedded processing, including debounced, fast-forward button, long keys can be convenient to make various combinations of keys.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:tomyin
  1. keypad

    0下载:
  2. 89c51平台实现4*4小键盘的扫描,需要的时候可以用#include包含进去就可以用了-89C51 platform 4* 4 small keyboard scan, when necessary, can be# Include to include can be used
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:史东寒
  1. test

    0下载:
  2. 驱动液晶LM6029(128*64)使其显示一副菜单,使用按键可以进入下一级菜单!-LCD driver LM6029 (128* 64) to make it appear a menu, use the keypad to enter the next level of menu!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:3072
    • 提供者:mion
  1. smallkeybaord

    0下载:
  2. 用verilog写的4*4小键盘按键检测程序。本工程已经编译好。可以直接在Atera DE1 Fpga开发板上运行-Written using Verilog 4* 4 keypad keys detection procedures. The project has been compiled. Directly in the development of Atera DE1 Fpga board run
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:1594368
    • 提供者:王乔
  1. VHDL

    0下载:
  2. vhdl的很多例子,包括LED、lcd、按键、数码管等等,非常的实用。-VHDL of many examples, including the LED, lcd, keypad, digital control and so on, very practical.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-17
    • 文件大小:855040
    • 提供者:盐城
  1. 89s52_OCMJ8X15D_18B20

    0下载:
  2. 基于89s52的空调模拟系统的实现,包括OCMJ8X15D的触摸式液晶屏的显示和按键扫描,18B20达拉斯温度传感器的温度提取并显示。-89s52-based simulation of air-conditioning system, including OCMJ8X15D the touch-screen LCD display and keypad scanning, 18B20 Dallas temperature sensor
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:90112
    • 提供者:赵祖云
  1. KEY

    0下载:
  2. 2乘8按键扫描程序 4个IO口 74LS164串行数据端 时钟端 两个普通IO口-2 x 8 keypad scanner 4 IO I 74LS164 serial data clock terminal end of two common IO port
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-17
    • 文件大小:1024
    • 提供者:zengxiaoqiang
  1. keypad_c

    0下载:
  2. ARM 10 KEYPAD DESIGN
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:443392
    • 提供者:gsmlkk
  1. 109_jvk_src

    0下载:
  2. 用Javascr ipt在网页上虚拟键盘的源码,包含104计算机键盘,银行数字键盘,Pos机键盘等等,非常实用。-Using Javascr ipt in the pages of the source virtual keyboard, including 104 computer keyboard, numeric keypad Bank, Pos Keyboard and so on, very useful.
  3. 所属分类:JSP源码/Java

    • 发布日期:2024-05-17
    • 文件大小:120832
    • 提供者:sgxcn
  1. key_i2c

    0下载:
  2. 51单片机虚拟I2C协议、组合式按键、串口通信的简单综合应用,配Proteus仿真电路-51 Single-chip virtual I2C agreement, the modular keypad, serial communications, a simple integrated application, with Proteus simulation circuit
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-17
    • 文件大小:26624
    • 提供者:iris
« 1 2 3 45 6 7 8 9 10 ... 50 »

源码中国 www.ymcn.org