搜索资源列表

  1. leijiaqi

    0下载:
  2. 累加器,一个加法器和一个寄存器构成的累加器,其用途是用于DDS技术的相位累加器 -ACC
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:17408
    • 提供者:陈兴文
  1. leijiaqi

    0下载:
  2. 16位流水线加法累加器,用VHDL语言实现,编译仿真通过。-16-bit pipelined adder accumulator, using VHDL language, compiled simulation through.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:221184
    • 提供者:liuxing
  1. leijiaqi

    0下载:
  2. 累加器 的VHDL语言源程序~-Accumulator accumulator VHDL language source ~
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:232448
    • 提供者:鲁鲁修
  1. leijiaqi

    0下载:
  2. 从000000000到11111111其中步进为K(随便设,)逐步增加,每遇到上升沿时进行增加-One step from 000,000,000 to 11,111,111 for the K (casual set,) and gradually increased to increase when the rising edge of each encounter
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:苦苦
  1. leijiaqi

    0下载:
  2. verilog 语言描述的累加器和乘法器-verilog code
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-03
    • 文件大小:1024
    • 提供者:罗华杰

源码中国 www.ymcn.org