搜索资源列表

  1. or1200_sopc

    0下载:
  2. 用verilog语言编写的or1200+wishbone总线+串口uart+片上ram,最小系统soc。包括片上ram的软件系统(C语言编写)都有。但下载者要使用此系统需要很多工具链,搞soc的应该都装好了。 绝对原创!用quartusII11.0在Altera DE2-115上验证通过,Modelsim SE 6.5f仿真通过。-It s very strange for Chinese people communicating
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:31982592
    • 提供者:咖啡猫
  1. SCMIPS

    0下载:
  2. 使用verilog代码描述了一种简单的单周期MIPS处理器实现,并在ModelSim SE6.5c调试通过。-The verilog code describes a simple, single-cycle MIPS processor implementation, and debugging through in ModelSim SE6.5c,.
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-22
    • 文件大小:134144
    • 提供者:赵成龙
  1. Chapter2

    0下载:
  2. Chapter2文件夹:(1)Quartus II 8.0软件实例讲解:1位加法器实验,完整的设计工程文件在Chapter2/adder文件夹下(2)ModelSim SE 6.0软件实例讲解:十进制计数器实验,完整的设计工程文件在Chapter2/test_counter_10文件夹下 -Chapter2 folder: (1) the Quartus II 8.0 software examples to explain: an
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:5167104
    • 提供者:boyzone
  1. RS232

    0下载:
  2. (6)实验6:串口通讯实验,完整的设计工程文件在RS232文件夹下二、运行环境 程序在以下环境调试通过: (1)Windows XP; (2)Altera公司的Quartus II 8.0 for windows; (3)Altera公司的Nios II 8.0 IDE for windows; (4)Mentor公司的ModelSim SE 6.0;-(6) (2) Altera Corporation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:14114816
    • 提供者:boyzone
  1. Serial-receiver

    0下载:
  2. 本实例中,开发板通过串口与PC上运行的上位机软件通信,使用了modelsim 定时器T0作为波特率发生器,串口波特率为9600。-In this instance, the development board communication through the serial port on a PC running PC software, use the timer T0 as a baud rate generator, ser
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:16384
    • 提供者:麦飞
  1. shft_reg

    0下载:
  2. 移位寄存器的VHDL语言实现,quartus 和 modelsim 仿真-Shift register VHDL language quartus and modelsim simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4372480
    • 提供者:金浩强
  1. clock

    0下载:
  2. 数字计时器的vhdl实现,quartus 和 modelsim 仿真-Digital timer vhdl achieve quartus and modelsim simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:4528128
    • 提供者:金浩强
  1. Assignment-3

    0下载:
  2. Assignment 3 Construct VHDL models for 74-139 dual 2-to-4-line decoders using three descr iption styles, i.e., behavioral, dataflow and structural descr iptions. (1) Synthesize and (2) simulate these models respectively
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:33792
    • 提供者:董振兴
  1. 16-bit-A-DCa16-bit-DAC-VHDL

    0下载:
  2. 16-bit Analogue to Digital Converter&16-bit Digital to Analogue Converter VHDL source code.在modelsim下仿真通过-16-bit Analogue to Digital Converter & 16-bit Digital to Analogue Converter VHDL source code. Simulated in m
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:fangshan
  1. sequence_detect

    0下载:
  2. 串行数据检测器,检测数据中是否存在10010,用FSM编写,在modelsim中仿真通过,功能上符合要求-Serial data detector detects data exists 10010, with FSM write, through simulation in modelsim functionality required
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:liangldai
  1. assigment3

    0下载:
  2. Construct VHDL models for 74-139 dual 2-to-4-line decoders using three descr iption styles, i.e., behavioral, dataflow and structural descr iptions. Synthesize and simulate these models respectively in the environmen
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:310272
    • 提供者:胡珩
  1. modelsim-sdram-sim

    0下载:
  2. 包括sdram 测试平台,sdram控制器,sdram行为模型。-Includes sdram testbench, sdram controller, sdram behavior model.
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:186368
    • 提供者:qiubin
  1. Altera_Modelsim

    0下载:
  2. Altera_Modelsim仿真资料,详细介绍modelsim的用法,希望帮到大家-Altera_Modelsim simulation data, modelsim usage details, hope to help everyone
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-22
    • 文件大小:840704
    • 提供者:杨明
  1. S6_VGA

    0下载:
  2. 1。源文件保存在src目录,QII的工程文件保存在Proj目录; 2。程序实现的功能是在VGA显示器上显示彩色条纹,共8种颜色, 可以使用嵌入式逻辑分析仪观测信号; 3。modelsim仿真文件在proj--simulation--modelsim中-1. The source file is saved in the src directory QII project file is saved in the direc
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:3196928
    • 提供者:丁俊辉
  1. S3_WAVE

    0下载:
  2. 1、本实验模拟正弦函数发生器 2、使用逻辑分析仪查看波形 3、/proj/simulation目录中可以在modelsim中仿真-1, the experimental simulation of the sine function generator, logic analyzer view waveform 3/proj/simulation directory in modelsim simulation
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:25539584
    • 提供者:丁俊辉
  1. how-to-make-a-testbench

    0下载:
  2. 怎样写一个testbench 讲述了怎样在ise或者modelsim里面怎样写仿真测试-How to write a testbench about how how to write a simulation test in ise modelsim inside
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-22
    • 文件大小:368640
    • 提供者:nx74110
  1. clk

    0下载:
  2. 基于EP2C5Q208C的二分频verilog代码,modelsim仿真及下载配置-Verilog code, modelsim simulation and download configuration based on EP2C5Q208C binary frequency
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:334848
    • 提供者:zuozuo
  1. digital-watch

    0下载:
  2. 这是一个电子表的完整工程,仿真工具是modelsim,综合工具是quartus,开发版是DE2.里面含有文档进行详细说明-This is a complete electronic table engineering, simulation tools modelsim synthesis tool is quartus Developer Edition is DE2 which contains documentation for
  3. 所属分类:软件工程

    • 发布日期:2024-05-22
    • 文件大小:23320576
    • 提供者:刘艳竹
  1. code

    0下载:
  2. 是用verilog写的带uart的简单controller,使用的是mips指令,用modelsim仿真,波形正确-With uart verilog write a simple controller, use the mips instruction the modelsim simulation, waveform correctly
  3. 所属分类:其他小程序

    • 发布日期:2024-05-22
    • 文件大小:72704
    • 提供者:张三
  1. scr

    0下载:
  2. 60进制计数器同步置位30异步复位 modelsim仿真代码含激励 自己写的 可用 仅供参考入门-60 binary counter 30 the asynchronous reset modelsim simulation code containing motivate yourself to write synchronization set can be used for reference only entry
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-22
    • 文件大小:1024
    • 提供者:ziranqingshuang
« 1 2 ... 45 46 47 48 49 50»

源码中国 www.ymcn.org