搜索资源列表

  1. nrf905TRX

    0下载:
  2. 430单片机的NRF905的驱动 调试已经通过 保证可以使用 msp430f147-430 SCM NRF905 debugging has been driven by guaranteeing use msp430f1 47
  3. 所属分类:其它资源

    • 发布日期:2008-10-13
    • 文件大小:3987
    • 提供者:胡安风
  1. 430VS串口

    0下载:
  2. 给予MSP430F147的串口通讯程序,能帮助你了解MSP430系列单片机和串口通讯的基本方法-give MSP430F147 Serial communication process can help you understand the MSP430 MCU serial communications and the basic methods
  3. 所属分类:VHDL编程

    • 发布日期:2024-05-30
    • 文件大小:110592
    • 提供者:孙德辉
  1. MSP430IIC

    0下载:
  2. 一个MSP430的IIC程序,用于测试ADS1110,当然,经过稍加修改,也可以测试其他芯片,如AT24C01.可在MSP430  IAR上编译.-a MSP430 IIC procedures for testing ADS1110, of course, after some amendments, other tests can also chip, as AT24C01. The IAR MSP430 compiled.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:1024
    • 提供者:bryan
  1. MSP430F11x2F12xF12x2

    0下载:
  2. MSP430F11x2 F12x 2 c语言 例程打包-MSP430F11x2 F12x 2 c language routines packing
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:72704
    • 提供者:jw
  1. nrf905TRX

    0下载:
  2. 430单片机的NRF905的驱动 调试已经通过 保证可以使用 msp430f147-430 SCM NRF905 debugging has been driven by guaranteeing use msp430f1 47
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:4096
    • 提供者:胡安风
  1. uart

    0下载:
  2. MSP430F135的UART操作代码,绝对的真实可行.放心下载-MSP430F135 the UART operation code, is absolutely true feasible. Rest assured Download
  3. 所属分类:汇编语言

    • 发布日期:2024-05-30
    • 文件大小:32768
    • 提供者:guguodong
  1. msp430F123_IR_asm

    0下载:
  2. 该程序是基于iar平台用msp430f123设计的红外线接受(RC,40khz),同时带有按键和指示灯。-The program is based on the platform iar with the design of infrared msp430f123 accept (RC, 40khz), at the same time with a button and indicator light.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:4096
    • 提供者:chenqiong
  1. MSP430F1121

    0下载:
  2. MSP430单片机F1121开发的重要文档资料,对应开发MSP430单片机F1121具有较好的参考价值-MSP430 Singlechip F1121 important documents developed by the information corresponding to the development of MSP430 Singlechip F1121 has a good reference value
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:325632
    • 提供者:李波
  1. MSP_TANTAO

    0下载:
  2. MSP430单片机:用MSP430F1121设计电子产品的心得,与大家探讨-MSP430 Singlechip: Design of electronic products using MSP430F1121 experience with you to explore
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:2048
    • 提供者:zxh
  1. MSP430F149_DS18B20

    0下载:
  2. 本程序使用MSP430F149驱动DS18B20,并再1602液晶显示温度;DS18B20 是一款小巧的温度传感器,它通过单总线协议与 MCU 进行通信,硬件连接十分简洁,它具有如下特性:测温范围-55℃~+125℃,并且在-10℃~+85℃范围内具有±0.5℃的精度,9-Bit 到 12-Bit 的可编程分辨率,用户自定义、非易失性温度阈值。MCU的P1.6端口与DS18B20的DQ端连接, 通过在MCU的IO 端口模拟1-Wire协
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:162816
    • 提供者:skywalker
  1. digtaltime

    0下载:
  2. 51单片机与金鹏的12864液晶,做的万年历时间串口程序。-51 of 12864 single-chip LCD and Jinpeng, the calendar time to do serial procedures.
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:4096
    • 提供者:李斌
  1. fyd12864

    0下载:
  2. 这个程序是应用在TI公司生产的msp430单片机上的,用途是控制FYD12864_0402液晶来显示!-This procedure is used in production of TI s MSP430 single chip microcomputer, uses is to control FYD12864_0402 LCD to display!
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:2048
    • 提供者:zhaoge
  1. MSP430F149_C_chengxuji

    0下载:
  2. mps430F149的常用的c程序集,调用非常的方便-c of the commonly used mps430F149 assembly, call a very convenient
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:52224
    • 提供者:zhangbin
  1. DS1302

    0下载:
  2. MSP430f149完成对DS1302时钟芯片的驱动代码-MSP430F149 completion of the DS1302 clock chip driver code
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:630784
    • 提供者:aa
  1. SPI

    0下载:
  2. SPI接口在MSP430f169处理器上面的调试程序,可以通过示波器检测输出波形-SPI interface processor in the above MSP430f169 debugger can be detected through the oscilloscope output waveform
  3. 所属分类:嵌入式/单片机编程

    • 发布日期:2024-05-30
    • 文件大小:1024
    • 提供者:412053
  1. nrf24l01

    0下载:
  2. nrf24l01的无线发射和接受程序,用于MSP430F1-nrf24l01 wireless transmitting and receiving procedures
  3. 所属分类:3G开发

    • 发布日期:2024-05-30
    • 文件大小:65536
    • 提供者:邱柳钦
  1. MSP430F14X

    0下载:
  2. 初学者实用的基本程序模块。包括各种晶振调试、低功耗设置、端口设置、定时器、比较器、串行通信、ADC、DAC等MSP430F14X最小系统的基本程序。-MSP430F14X Basic sample programs
  3. 所属分类:微处理器(ARM/PowerPC等)

    • 发布日期:2024-05-30
    • 文件大小:37888
    • 提供者:zhouyaqin
  1. MSP430F1-DS18B20

    0下载:
  2. MSP430F1驱动DS18B20温度传感器-MSP430F1 drive DS18B20 temperature sensor
  3. 所属分类:编译器/词法分析

    • 发布日期:2024-05-30
    • 文件大小:2048
    • 提供者:缪燚
  1. MSP430F1--Module

    0下载:
  2. MSP430F1系列常用模块应用原理,框图,寄存器说明,程序代码!-MSP430 Module programm
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:615424
    • 提供者:jeffery
  1. msp430F1

    0下载:
  2. msp430F1测试代码,可以用在F14、F15、F16等TI的msp430系列单片机中。包括常用的基本功能,官方历程,主要是调试用。(Msp430f1 test code, can be used in F14, F15, F16 and other Ti MSP430 Series MCU. Including common basic functions, official process, mainly trial.)
  3. 所属分类:单片机(51,AVR,MSP430等)

    • 发布日期:2024-05-30
    • 文件大小:312320
    • 提供者:江湖飘零客
« 12 »

源码中国 www.ymcn.org